Skip to main content

Power Monitoring for Mixed-Criticality on a Many-Core Platform

  • Conference paper
Architecture of Computing Systems – ARCS 2013 (ARCS 2013)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7767))

Included in the following conference series:

Abstract

Mixed-critical applications on a many-core platform have to be sufficiently independent to be certified separately. This does not only include independence in terms of time and space, but also in terms of power consumption as the available energy for a many-core system has to be shared by all running applications. Increased power consumption of one application may reduce the available energy for other applications or the reliability and lifetime of the complete chip. This paper presents a monitoring and control mechanism based on event-driven power estimation to isolate dynamic power consumption of mixed-critical applications running on a many-core platform. Isolating dynamic power consumption significantly reduces safety requirements for lower critical applications and therefore overall certification costs, making many-core systems more attractive for safety-critical applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Functional safety of electrical/electronic/programmable electronic safety-related systems. Int. Electrotechnical Commission (2010)

    Google Scholar 

  2. Atienza, D., Del Valle, P.G., Paci, G., Poletti, F., Benini, L., De Micheli, G., Mendias, J.M.: A Fast HW/SW FPGA-Based Thermal Emulation Framework for Multi-Processor System-on-Chip. In: Proc. 43rd Design Automation Conf. ACM (2006)

    Google Scholar 

  3. Bellosa, F.: The Case for Event-Driven Energy Accounting. Tech. rep., University of Erlangen, Department of Computer Science (2001)

    Google Scholar 

  4. Bellosa, F.: The Benefits of Event-Driven Energy Accounting in Power-Sensitive Systems. In: Proc. of the 9th ACM SIGOPS European Workshop (2000)

    Google Scholar 

  5. Bertran, R., Becerra, Y., Carrera, D., Beltran, V., Gonzalez, M., Martorell, X., Torres, J., Ayguade, E.: Accurate Energy Accounting for Shared Virtualized Environments using PMC-based Power Modeling Techniques. In: Int. Conf. on Grid Computing (2010)

    Google Scholar 

  6. Bhattacharjee, A., Contreras, G., Martonosi, M.: Full-System Chip Multiprocessor Power Evaluations Using FPGA-Based Emulation. In: ACM/IEEE Int. Symp. on Low Power Electronics and Design, ISLPED (2008)

    Google Scholar 

  7. Bhunia, S., Mukhopadhyay, S. (eds.): Low-Power Variation-Tolerant Design in Nanometer Silicon. Springer (2010)

    Google Scholar 

  8. Cho, Y., Kim, Y., Park, S., Chang, N.: System-Level Power Estimation using an On-Chip Bus Performance Monitoring Unit. In: IEEE/ACM Int. Conf. on Computer-Aided Design, ICCAD (2008)

    Google Scholar 

  9. Chung, S., Skadron, K.: Using On-Chip Event Counters For High-Resolution, Real-Time Temperature Measurement. In: The Tenth Intersociety Conf. on Thermal and Thermomechanical Phenomena in Electronics Systems. IEEE (2006)

    Google Scholar 

  10. Coburn, J., Ravi, S., Raghunathan, A.: Power Emulation: A New Paradigm for Power Estimation. In: Design Automation Conf. Proc. 42nd (2005)

    Google Scholar 

  11. Contreras, G., Martonosi, M.: Power Prediction for Intel XScale Processors Using Performance Monitoring Unit Events. In: Proc. of the Int. Symp. on Low Power Electronics and Design, ISLPED 2005. ACM (2005)

    Google Scholar 

  12. Genser, A., Bachmann, C., Haid, J., Steger, C., Weiss, R.: An Emulation-Based Real-Time Power Profiling Unit for Embedded Software. In: Int. Symp. on Systems, Architectures, Modeling, and Simulation, SAMOS 2009. IEEE (2009)

    Google Scholar 

  13. Henia, R., Hamann, A., Jersak, M., Racu, R., Richter, K., Ernst, R.: System level performance analysis - the SymTA/S approach. IEEE Computers and Digital Techniques (2005)

    Google Scholar 

  14. Hoyme, K., Driscoll, K.: Safebus [for avionics]. IEEE Aerospace and Electronic Systems Magazine (1993)

    Google Scholar 

  15. Intel Labs: The SccKit 1.4.0 User’s Guide (2011)

    Google Scholar 

  16. Merkel, A., Bellosa, F.: Balancing Power Consumption in Multiprocessor Systems. In: Proc. of the 1st ACM SIGOPS/EuroSys Conf. on Computer Systems (2006)

    Google Scholar 

  17. Motruk, B., Diemer, J., Buchty, R., Ernst, R., Berekovic, M.: Idamc: A many-core platform with run-time monitoring for mixed-criticality. In: IEEE 14th International Symposium on High-Assurance Systems Engineering, HASE (2012)

    Google Scholar 

  18. Peddersen, J., Parameswaran, S.: Low-Impact Processor for Dynamic Runtime Power Management. IEEE Design Test of Computers (2008)

    Google Scholar 

  19. Rotem, E., Naveh, A., Rajwan, D., Ananthakrishnan, A., Weissmann, E.: Power-management architecture of the Intel microarchitecture code-named Sandy Bridge. IEEE Micro (2012)

    Google Scholar 

  20. Schliecker, S., Ernst, R.: Real-Time Performance Analysis of Multiprocessor Systems with Shared Memory. ACM Trans. Embed. Comput. Syst. (2010)

    Google Scholar 

  21. Skadron, K., Stan, M., Sankaranarayanan, K., Huang, W., Velusamy, S., Tarjan, D.: Temperature-aware microarchitecture: Modeling and implementation. ACM Transactions on Architecture and Code Optimization, TACO (2004)

    Google Scholar 

  22. Snowdon, D.C., Petters, S.M., Heiser, G.: Accurate On-line Prediction of Processor and Memory Energy Usage Under Voltage Scaling. In: Proc. of the 7th Int. Conf. on Embedded Software (2007)

    Google Scholar 

  23. Weicker, R.: Dhrystone: A Synthetic Systems Programming Benchmark. Communications of the ACM (1984)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Motruk, B., Diemer, J., Buchty, R., Berekovic, M. (2013). Power Monitoring for Mixed-Criticality on a Many-Core Platform. In: Kubátová, H., Hochberger, C., Daněk, M., Sick, B. (eds) Architecture of Computing Systems – ARCS 2013. ARCS 2013. Lecture Notes in Computer Science, vol 7767. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36424-2_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36424-2_2

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36423-5

  • Online ISBN: 978-3-642-36424-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics