Skip to main content

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 233))

Abstract

This chapter presents a designer-assisted analog synthesis flow that is fully controlled by the designer and offers an intuitive design approach. The designer knowledge to conceive an analog IP is the key element of the synthesis flow, it is taken into account to automatically generate the analog IP design procedure and the physical view. Thus both consistency and accuracy of the final design are ensured. The presented flow bridges the gap between the two traditional approaches related to analog synthesis, namely the simulation-based and the knowledge-based approaches. It combines accuracy from simulation-based approaches with speed of computation from knowledge-based approaches. The proposed analog synthesis flow is composed of an accurate hierarchical sizing and biasing tool and a parameterizable layout generation tool. To demonstrate the effectiveness of the proposed flow, a fully differential transconductor was completely synthesized in 130nm CMOS technology to respect some performance specifications set by the designer. The obtained very low runtime is due to the introduction of design knowledge during both sizing and layout generation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Binkley, D.: Tradeoffs and Optimization in Analog CMOS Design. Wiley (2008)

    Google Scholar 

  2. Binkley, D.M., Hopper, C.E., Tucker, S.D., Moss, B.C., Rochelle, J.M., Foty, D.P.: A CAD methodology for optimizing transistor current and sizing in analog CMOS design. IEEE Trans. Comput. Aid. Des. 22(2), 225–237 (2003)

    Article  Google Scholar 

  3. Chamla, D., Kaiser, A., Cathelin, A., Belot, D.: A G m  − C low-pass filter for zero-IF mobile applications with very wide tuning range. IEEE J. of Solid-State Circuits 40(7), 1443–1450 (2005)

    Article  Google Scholar 

  4. Co, N.L., Vianello, M., Guilherme, J., Horta, N.: LAYGEN-Automatic Layout Generation of Analog ICs from Hierarchical Template Descriptions. In: Conference on Ph.D. Research in Microelectronics and Electronics, pp. 213–216 (2006)

    Google Scholar 

  5. Degrauwe, M., Nys, O., Dijkstra, E., Rijmenants, L., Bitz, S., Goffart, B., Vittoz, E., Cserveny, S., Meixenberger, C., van der Stappen, G., Oguey, H.: IDAC: An Interactive Design Tool for Analog CMOS Circuits. IEEE Journal of Solid-State Circuits 22(6), 1106–1116 (1987)

    Article  Google Scholar 

  6. Doboli, A., Vemuri, R.: Exploration-based high-level synthesis of linear analogue systems operating at low/medium frequencies. IEEE Trans. Comput. Aid. Des. 22(11), 1556–1568 (2003)

    Article  Google Scholar 

  7. Enz, C., Krummenacher, F., Vittoz, E.: An Analytical MOS Transistor Model Valid in All Regions of Operation and Dedicated to Low-Voltage and Low-Current Applications. Analog Integrated Circuits and Signal Processing Journal 8(1), 83–114 (1995)

    Article  Google Scholar 

  8. Graeb, H., Balasa, F., Castro-Lopez, R., Chang, Y.W., Fernandez, F., Lin, P.H., Strasser, M.: Analog Layout Synthesis - Recent Advances in Topological Approaches. In: Proceedings on Design, Automation and Test in Europe, pp. 274–279 (2009)

    Google Scholar 

  9. Harjani, R., Rutenbar, R., Carley, L.: OASYS: A Framework for Analog Circuit Synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1247–1266 (1989)

    Google Scholar 

  10. Iskander, R., Louërat, M.M., Kaiser, A.: Automatic DC Operating Point Computation and Design Plan Generation for Analog IPs. Analog Integrated Circuits and Signal Processing Journal 56, 93–105 (2008)

    Article  Google Scholar 

  11. Javid, F., Iskander, R., Louërat, M.M.: Simulation-Based Hierarchical Sizing and Biasing of Analog Firm IPs. In: IEEE International Behavioral Modeling and Simulation Conference, pp. 43–48 (2009)

    Google Scholar 

  12. Javid, F., Iskander, R., Louërat, M.M., Dupuis, D.: Analog Circuits Sizing Using Bipartite Graphs. In: IEEE Midwest Symposium on Circuits and Systems (2011)

    Google Scholar 

  13. Koh, H.Y., Sequin, C.H., Gray, P.R.: OPASYN: A Compiler for CMOS Operational Amplifiers. IEEE Trans. Computer-Aided Design 9(2), 113–125 (1990)

    Article  Google Scholar 

  14. Krasnicki, M., Phelps, R., Rutenbar, R.A., Carley, L.R.: MAELSTROM: Efficient simulation-based synthesis for custom analog cells. In: Design Automation Conference, pp. 945–950 (1999)

    Google Scholar 

  15. Krasnicki, M.J., Phelps, R., Hellums, J.R., McClung, M., Rutenbar, R.A., Carley, L.R.: ASF: A practical simulation-based methodology for the synthesis of custom analog circuits. In: Proc. IEEE Int. Conf. on Computer-Aided-Design, pp. 350–357 (2001)

    Google Scholar 

  16. Lewyn, L., Williams, N.: Is a New Paradigm for Nanoscale Analog CMOS Design Needed? Proceedings of the IEEE 99(1), 3–6 (2011)

    Article  Google Scholar 

  17. Libes, D.: EXPECT (2010)

    Google Scholar 

  18. Lin, P.H., Chang, Y.W., Lin, S.C.: Analog placement based on symmetry-island formulation. Trans. Comp. -Aided Des. Integ. Cir. Sys. 28(6), 791–804 (2009)

    Article  Google Scholar 

  19. Liu, W.: MOSFET Models for SPICE Simulation Including BSIM3v3 and BSIM4. Wiley-Interscience (2001)

    Google Scholar 

  20. NXP: MOS Model PSP level 103 (2011), http://www.nxp.com/models/mos_models/psp/

  21. Nye, W., Riley, D., Sangiovanni-Vincentelli, A., Tits, A.L.: DELIGHT.SPICE: An Optimization-Based System for Design of Integrated Circuits. IEEE Trans. Computer-Aided Design 7(4), 501–518 (1988)

    Article  Google Scholar 

  22. Ochotta, E.S., Rutenbar, R.A., Carley, L.R.: Synthesis of high-performance analog circuits in ASTRX/OBLX. IEEE Trans. Comput. Aid. Des. 15(3), 273–294 (1996)

    Article  Google Scholar 

  23. Phelps, R., Krasnicki, M., Rutenbar, R.A., Carley, L.R., Hellums, J.R.: ANACONDA: Robust synthesis of analog circuits via stochastic pattern search. IEEE Trans. Comput. Aid. Des., 567–570 (2000)

    Google Scholar 

  24. Van der Plas, G., Debyser, G., Leyn, F., Lampaert, K., Vandenbussche, J., Gielen, G., Sansen, W., Veselinovic, P., Leenarts, D.: AMGIE-A Synthesis Environment for CMOS Analog Integrated Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20(9), 1037–1058 (2001)

    Article  Google Scholar 

  25. Porte, J.: COMDIAC: Compilateur de Dispositifs Actifs (1997)

    Google Scholar 

  26. Rutenbar, R.A., Gielen, G.: Hierarchical modeling, optimization and synthesis for system-level analog and RF designs. Proceedings of the IEEE 95(3), 1556–1568 (2007)

    Article  Google Scholar 

  27. Stefanovic, D., Kayal, M.: Structured Analog CMOS Design. Kluwer (2009)

    Google Scholar 

  28. Stefanovic, D., Kayal, M., Pastre, M.: PAD: A new interactive knowledge-based analog design approach. Analog Integr. Circ. and Sig. Processing Journal, 291–299 (2005)

    Google Scholar 

  29. Stehr, G., Pronath, M., Schenkel, F., Graeb, H., Antreich, K.: Initial sizing of analog integrated circuits by centering within topology-given implicit specification. In: Proc. IEEE Int. Conf. on Computer-Aided-Design, pp. 241–246 (2003)

    Google Scholar 

  30. Yilmaz, Y., Dundar, G.: Analog Layout Generator for CMOS Circuits. IEEE Trans. Computer-Aided Design of Integr. Circ. ans Syst. 28(1), 32–45 (2009)

    Article  Google Scholar 

  31. Zhang, L., Liu, Z.: A Performance-Constrained Template-Based Layout Retargeting Algorithm for Analog Integrated Circuits. In: ASP-DAC, pp. 293–298 (2010)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Farakh Javid .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Javid, F., Youssef, S., Iskander, R., Louërat, MM. (2013). A Designer-Assisted Analog Synthesis Flow. In: Fakhfakh, M., Tlelo-Cuautle, E., Castro-Lopez, R. (eds) Analog/RF and Mixed-Signal Circuit Systematic Design. Lecture Notes in Electrical Engineering, vol 233. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36329-0_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36329-0_6

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36328-3

  • Online ISBN: 978-3-642-36329-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics