Skip to main content

Thermal-Aware Task Assignment for Real-Time Applications on Multi-Core Systems

  • Chapter

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 7542))

Abstract

The reduced feature size of electronic systems and the demand for high performance lead to increased power densities and high chip temperatures, which in turn reduce the system reliability. Thermal-aware task allocation and scheduling algorithms are promising approaches to reduce the peak temperature of multi-core systems with real-time constraints. However, as long as the worst-case chip temperature is not incorporated into system analysis, no guarantees on the performance can be given. This paper explores thermal-aware task assignment strategies for real-time applications with non-deterministic workload that are running on a multi-core system. In particular, tasks are assigned to the multi-core system so that the worst-case chip temperature is minimized and all real-time deadlines are met. Each core has its own clock domain and the static assigned frequency corresponds to the minimum operation frequency such that no real-time deadline is missed. Finally, we show that the proposed temperature minimization problem can efficiently be solved by metaheuristics.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Gunther, S., Binns, F., Carmean, D., Hall, J.: Managing the Impact of Increasing Microprocessor Power Consumption. Intel Technology Journal 5(1), 1–9 (2001)

    Google Scholar 

  2. Donald, J., Martonosi, M.: Techniques for Multicore Thermal Management: Classification and New Exploration. In: Proc. Int’l Symposium on Computer Architecture, ISCA, Boston, MA, USA, pp. 78–88. IEEE (2006)

    Google Scholar 

  3. Isci, C., Buyuktosunoglu, A., Cher, C.Y., Bose, P., Martonosi, M.: An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In: Proc. Int’l Symposium on Microarchitecture, MICRO, pp. 347–358. IEEE (2006)

    Google Scholar 

  4. Chantem, T., Dick, R., Hu, X.: Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs. In: Proc. Design, Automation and Test in Europe, DATE, Munich, Germany, pp. 288–293. ACM/IEEE (2008)

    Google Scholar 

  5. Murali, S., Mutapcic, A., Atienza, D., Gupta, R., Boyd, S., De Micheli, G.: Temperature-Aware Processor Frequency Assignment for MPSoCs Using Convex Optimization. In: Proc. Int’l Conf. on Hardware/Software Codesign and System Synthesis, CODES+ISSS, Salzburg, Austria, pp. 111–116. ACM (2007)

    Google Scholar 

  6. Fisher, N., Chen, J.J., Wang, S., Thiele, L.: Thermal-Aware Global Real-Time Scheduling on Multicore Systems. In: Proc. Real-Time and Embedded Technology and Applications Symposium, RTAS, San Francisco, USA, pp. 131–140. IEEE (2009)

    Google Scholar 

  7. Coskun, A., Rosing, T., Whisnant, K., Gross, K.: Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(9), 1127–1140 (2008)

    Article  Google Scholar 

  8. Thiele, L., Chakraborty, S., Naedele, M.: Real-Time Calculus for Scheduling Hard Real-Time Systems. In: Proc. Int. Symposium on Circuits and Systems, ISCAS, Geneva, Switzerland, vol. 4, pp. 101–104. IEEE (2000)

    Google Scholar 

  9. Henia, R., Hamann, A., Jersak, M., Racu, R., Richter, K., Ernst, R.: System Level Performance Analysis - The SymTA/S Approach. IEEE Proc. Comp. and Digital Tech. 152(2), 148–166 (2005)

    Article  Google Scholar 

  10. Baruah, S., Mok, A., Rosier, L.: Preemptively Scheduling Hard-Real-Time Sporadic Tasks on One Processor. In: Proc. Real-Time Systems Symposium, RTSS, Lake Buena Vista, FL, USA, pp. 182–190. IEEE (1990)

    Google Scholar 

  11. Wandeler, E., Maxiaguine, A., Thiele, L.: Performance Analysis of Greedy Shapers in Real-Time Systems. In: Proc. Design, Automation and Test in Europe, DATE, Munich, Germany, pp. 444–449 (2006)

    Google Scholar 

  12. Chen, J.J., Wang, S., Thiele, L.: Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints. In: Proc. Real-Time and Embedded Technology and Applications Symposium, RTAS, San Francisco, CA, USA, pp. 141–150. IEEE (2009)

    Google Scholar 

  13. Rabaey, J.M., Chandrakasan, A., Nikolic, B.: Digital Integrated Circuits, 3rd edn. Prentice Hall Press (2008)

    Google Scholar 

  14. Liu, Y., Dick, R.P., Shang, L., Yang, H.: Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy. In: Proc. Design, Automation and Test in Europe, DATE, Nice, France, pp. 1526–1531 (2007)

    Google Scholar 

  15. Skadron, K., et al.: Temperature-Aware Microarchitecture: Modeling and Implementation. ACM Trans. Architec. Code Optim. 1(1), 94–125 (2004)

    Article  Google Scholar 

  16. Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., Stan, M.: HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(5), 501–513 (2006)

    Article  Google Scholar 

  17. Schor, L., Bacivarov, I., Yang, H., Thiele, L.: Worst-Case Temperature Guarantees for Real-Time Applications on Multi-Core Systems. In: Proc. Real-Time and Embedded Technology and Applications Symposium, RTAS, Beijing, China, pp. 87–96. IEEE (2012)

    Google Scholar 

  18. Ferreira, P.: Sorting Continuous-Time Signals: Analog Median and Median-Type Filters. IEEE Trans. Signal. Proces. 49(11), 2734–2744 (2001)

    Article  Google Scholar 

  19. Wandeler, E., Thiele, L.: Real-Time Calculus (RTC) Toolbox (2006), http://www.mpa.ethz.ch/Rtctoolbox

  20. Kirkpatrick, S., Gelatt, C., Vecchi, M.: Optimization by Simulated Annealing. Science 220(4598), 671–680 (1983)

    Article  MathSciNet  MATH  Google Scholar 

  21. Xie, Y., Hung, W.L.: Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. The Journal of VLSI Signal Processing 45(3), 177–189 (2006)

    Article  Google Scholar 

  22. Liu, Y., Yang, H., Dick, R., Wang, H., Shang, L.: Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. In: Proc. Int’l Symposium on Quality Electronic Design, ISQED, San Jose, CA, USA, pp. 204–209. IEEE (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Schor, L., Yang, H., Bacivarov, I., Thiele, L. (2013). Thermal-Aware Task Assignment for Real-Time Applications on Multi-Core Systems. In: Beckert, B., Damiani, F., de Boer, F.S., Bonsangue, M.M. (eds) Formal Methods for Components and Objects. FMCO 2011. Lecture Notes in Computer Science, vol 7542. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-35887-6_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-35887-6_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-35886-9

  • Online ISBN: 978-3-642-35887-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics