Skip to main content

Principles and Status of Nanoimprint Lithography

  • Chapter
  • First Online:
Nanoimprint Lithography: An Enabling Process for Nanofabrication

Abstract

Patterning technology is crucial in micro/nanofabrication. Development of photolithography roadmap is agreeable with Moore’s law, which claims that the number of transistors per square inch may double approximately every 18 months. However, due to exposure wavelength diffraction limit, the technical complexity and manufacturing costs have been increased dramatically for the nanometer-scale line-width manufacturing. In such a context, next-generation lithography (NGL) has been proposed to replace conventional photolithography.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Stepanova M, Dew S (2012) Nanofabrication technique and principles. Springer, Heidelberg

    Google Scholar 

  2. Cui Z (2008) Nanofabrication: principles, capabilities and limits. Springer, Heidelberg

    Google Scholar 

  3. Quirk M, Serda J (2001) Semiconductor manufacturing technology. Prentice Hall, Upper Saddle River

    Google Scholar 

  4. The ITRS International Technology Working Groups, International Technology Roadmap for semiconductors. http://www.itrs.net/Links/2011ITRS/Home2011.htm. Accessed 12 Nov 2011

  5. Chou SY, Krauss PR, Renstrom PJ (1995) Imprint of sub-25 nm vias and trenches in polymers. Appl Phys Lett 67:3114–3116

    Article  Google Scholar 

  6. Hua F, Sun Y, Gaur A, Meitl MA, Bilhaut L, Rotkina L, Wang JF, Geil P, Shim M, Rogers JA (2004) Polymer imprint lithography with molecular-scale resolution. Nano Lett 4:2467–2471

    Article  Google Scholar 

  7. Special Report (2003) 10 Emerging technologies that will change the world. Technol Rev. pp 33–49

    Google Scholar 

  8. Farber AS, Hibibrand J (1977) Method of preparing portions of a semiconductor wafer surface for further processing. US Patent 4,035,848

    Google Scholar 

  9. Fujimori S (2009) Fine pattern fabrication by the molder mask method (nanoimprint lithography) in the 1970s. Jpn J Appl Phys 48:06FH01–06FH07

    Article  Google Scholar 

  10. Cobburm M, Johnson S et al (1999) Step and flash imprint lithography: an alternative approach to high resolution patterning. Proc SPIE 3676:379–389

    Article  Google Scholar 

  11. Hua T, Andrew G, Chou SY (1998) Roller nanoimprint lithography. J Vac Sci Technol B 16:3926–39

    Article  Google Scholar 

  12. Chow S, Keimel C et al (2002) Ultrafast and direct imprint of nanostructures in silicon. Nature 417:835–837

    Article  Google Scholar 

  13. Bao LR, Cheng X, Huang XD, Guo LJ, Pang SW, Yee AF (2002) Nanoimprinting over topography and multilayer three-dimensional printing. J Vac Sci Technol B 20:2881–2886

    Article  Google Scholar 

  14. Cheng X, Guo LJ (2004) One-step lithography for various size patterns with a hybrid mask-mold. Microelectron Eng 71:288–293

    Article  Google Scholar 

  15. Cheng X, Guo LJ (2004) A combined-nanoimprint-and-photolithography patterning technique. Microelectron Eng 71:277–282

    Article  Google Scholar 

  16. Ahn SH, Kim JS, Guo LJ (2007) Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate. J Vac Sci Technol B 25:2388–2391

    Article  Google Scholar 

  17. Ahn SH, Guo LJ (2009) Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. ACS Nano 3:2304–2310

    Article  Google Scholar 

  18. Tomi H (2011) Stamp fabrication by step and stamp nanoimprinting. Dissertation, VTT

    Google Scholar 

  19. Goto H (2007) Overview on nanoimprint technology – process, tools, applications and technical issues for industrialization. Key Eng Mater 345–346:1073–1077

    Article  Google Scholar 

  20. Mitsuru H, Masahiko O (2008) Belt-shaped mold and nanoimprint system using the belt-shaped mold. US Patent 7,654,815

    Google Scholar 

  21. Chou SY, Krauss PR, Zhang W et al (1997) Sub-10 nm imprint lithography and application. J Vac Sci Technol B 15(6):2897–2904

    Article  Google Scholar 

  22. Tan H, Gilbertson A, Chou SY (1998) Roller nanoimprint lithography. J Vac Sci Technol B 16(6):3926–3939

    Article  Google Scholar 

  23. Lebib A, Chen Y, Bournexi J et al (2009) Nanoimprint lithography for a large area pattern replication. Microelectron Eng 46:319–322

    Article  Google Scholar 

  24. Heidari B, Maximov I, Sarwe EL, Montelius L (2000) Nanoimprint lithography at the 6 in. wafer scale. J Vac Sci Technol B 18:3557–3560

    Article  Google Scholar 

  25. Studer V, Pepin A, Chen Y (2001) Nanoembossing of thermoplastic polymers for microfluidic application. Appl Phys Lett 80:3614–3616

    Article  Google Scholar 

  26. Beck M, Graczyk M, Maximov I et al (2002) Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectron Eng 61–62:441–448

    Article  Google Scholar 

  27. Gao H, Tan H, Zhang W (2006) Air cushion press for excellent uniformity, high yield, and fast nanoimprint across a 100 mm field. Nano Lett 6:2438–2441

    Article  Google Scholar 

  28. Kehagias N, Zelsmann M, Torres CMS (2005) Polymers optical devices made by reverse and 3D nanoimprint lithography. Proc SPIE 5825:654–660

    Article  Google Scholar 

  29. Haisma J (2006) Nanoimprint lithography combined with direct bonding: a possibility to construct quantum dots, wires, and planes in vertical cascade. Appl Phys Lett 89:244105–244107

    Article  Google Scholar 

  30. Wu W, Tong WM, Bartman J, Chen YF, Walmsley R, Yu ZN, Xia QF et al (2008) Sub-10 nm nanoimprint lithography by wafer bowing. Nano Lett 8:3865–3869

    Article  Google Scholar 

  31. Jia R, Hornunga M, Verschuuren MA et al (2010) UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectron Eng 87:963–967

    Article  Google Scholar 

  32. Schift H (2008) Nanoimprint lithography: an old story in modern times? A review. J Vac Sci Technol B 26:458–480

    Article  Google Scholar 

  33. Xia YN, Whiteside GM (1998) Soft lithography. Angew Chem Int Ed 37:550–575

    Article  Google Scholar 

  34. Whiteside GM (1994) Microfabrication by microcontact printing of self-assembled monolayers. Adv Mater 6:600–604

    Article  Google Scholar 

  35. Heidari B, Maximov I, Montelius L (2000) Nanoimprint lithography at the 6 in. wafer scale. J Vac Sci Technol B18:3557–3560

    Google Scholar 

  36. Zhao XM, Smith SP, Waldman SJ, Whiteside GM (1997) Demonstration of waveguide couplers fabricated using microtransfer molding. Appl Phys Lett 71:1017–1019

    Article  Google Scholar 

  37. Kim E, Xia Y, Whitesides GM (1995) Polymer microstructures formed by molding in capillaries. Nature 376:581–584

    Article  Google Scholar 

  38. King E, Xia Y, Zhao XM, Whitesides GM (2004) Solvent-assisted microcontact molding: a convenient method for fabricating three-dimensional structures on surfaces of polymers. Adv Mater 9:651–654

    Article  Google Scholar 

  39. Zhou WM, Min GQ, Zhang J, Liu YB, Wang JH, Zhang YP, Sun F (2011) Nanoimprint lithography: a processing technique for nanofabrication advancement. Nano Micro Lett 3:135–140

    Google Scholar 

  40. Hirai Y, Tanaka Y (2002) Application of nano-imprint lithography. J Photopolym Sci Technol 15:475–480

    Article  Google Scholar 

  41. Kim EK, Stacey NA, Smith BJ, Dickey MD, Johnson SC, Trinque BC (2004) Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography. J Vac Sci Technol B 22:131–135

    Article  Google Scholar 

  42. Cheng X, Guo LJ, Fu PF (2005) Room-temperature, low-pressure nanoimprinting based on cationic photopolymerization of novel epoxysilicone monomers. Adv Mater 17:1419–1424

    Article  Google Scholar 

  43. Long BK, Keitz BK, Willson CG (2007) Materials for step and flash imprint lithography (S-FIL®). J Mater Chem 17:3565–3656

    Article  Google Scholar 

  44. Zhang W, Chou SY (2001) Multilevel nanoimprint lithography with submicron alignment over 4 in. Si wafers. Appl Phys Lett 79:845–847

    Article  Google Scholar 

  45. Muhlberger M (2007) A Moiré method for high accuracy alignment in nanoimprint lithography. Microelectron Eng 84:925–927

    Article  Google Scholar 

  46. Sun HW, Liu JQ, Chen D (2009) Nanoimprint lithography for complex 3D micro-nano structures replication under low temperature. Proc SPIE 7402:74020U–74020U-8

    Article  Google Scholar 

  47. Schleunitz A, Schift H (2010) Fabrication of 3D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J Micromech Microeng 20:201009–201014

    Article  Google Scholar 

  48. Konijn M, Alkaisi MM, Blaikie RJ (2005) Nanoimprint lithography of sub-100 nm 3D structures. Microelectron Eng 78–79:653–658

    Article  Google Scholar 

  49. McMackin I, Choi J, Schumaker P, Nguyen P (2004) Step and repeat UV nanoimprint lithography tools and processes. Proc SPIE 5374:222–231

    Article  Google Scholar 

  50. Gourgon C, Perret C, Tallal J et al (2005) Uniformity across 200 mm silicon wafers printed by nanoimprint lithography. J Phys D: Appl Phys 38:70–75

    Article  Google Scholar 

  51. Voelkel R, Duparre J, Wipperman F (2008) Technology trends of microlens imprint lithography and wafer level cameras (WLC). MOC’08, conference on micro-optics, Brussels, Belgium on 25 Sept–27 Sept 2008

    Google Scholar 

  52. McMackin I, Choi J, Schumaker P (2004) Step and repeat UV nanoimprint lithography tools and processes. Proc SPIE 537:222–231

    Article  Google Scholar 

  53. Tan H, Kong L, Li M (2004) Current status of Nanonex nanoimprint solutions. Proc SPIE 5374:213–1382

    Article  Google Scholar 

  54. Babak H, Maximov I, Montelius L (2000) Nanoimprint lithography at the 6 in. wafer scale. J Vac Sci Technol B 18:3557–3560

    Article  Google Scholar 

  55. Ando T (2005) Development of nanoimprint lithography and applications. SPIE Optics and Photonics, San Diego

    Google Scholar 

  56. Egerton RF (2005) Physical principles of electron microscopy. Springer, Heidelberg

    Book  Google Scholar 

  57. Veeco SPM Training Notebook. 004-130-000 (2003)

    Google Scholar 

  58. Zankovych S, Hoffmann T, Seekamp J, Bruch JU, Torres CMS (2001) Nanoimprint lithography: challenges and prospects. Nanotechnology 12:91–96

    Article  Google Scholar 

  59. Pfeiffer K, Fink M, Ahrens G (2002) Polymer stamps for nanoimprinting. Microelectron Eng 61–62:393–398

    Article  Google Scholar 

  60. Carcenac F, Vieu C, Lebib A (2000) Fabrication of high density nanostructures gratings (>500 Gbit/in2) used as molds for nanoimprint lithography. Microelectron Eng 53:163–166

    Article  Google Scholar 

  61. Jung GY, Wu W, Ganapathiappan S (2005) Issues on nanoimprint lithography with a single-layer resist structure. Appl Phys Mater Sci Process 81:1331–1335

    Article  Google Scholar 

  62. Schift H, Saxer S, Park S (2005) Controlled co-evaporation of silanes for nanoimprint stamps. Nanotechnology 16:S171–S176

    Article  Google Scholar 

  63. Ahn SH, Guo LJ (2008) High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates. Adv Mater 20:2044–2049

    Article  Google Scholar 

  64. Peng C, Liang XG, Chou SY (2009) A novel method for fabricating sub-16 nm footprint T-gate nanoimprint molds. Nanotechnology 20:185302–185304

    Article  Google Scholar 

  65. Costner EA, Lin MW, Jen WL (2009) Nanoimprint lithography materials development for semiconductor device fabrication. Annu Rev Mater Res 39:155–180

    Article  Google Scholar 

  66. Jung GY, Halperin JH, Wu W (2006) Circuit fabrication at 17 nm half-pitch by nanoimprint lithography. Nano Lett 6:351–354

    Article  Google Scholar 

  67. Bessonov A, Kin JG, Seo JW (2010) Design of patterned surfaces with selective wetting using nanoimprint lithography. Macromol Chem Phys 211:2636–2641, Dauksher VJ, Le NV, Ainley ES, Nordquist KJ, Gehoski KA, Young SR, Baker JH, Convey D, Mangat PS (2006) Nano-imprint lithography: templates, imprinting and wafer pattern transfer. Microelectron Eng 83:929–932

    Article  Google Scholar 

  68. Falco VD, Robert VDL, Marc V, Elmar P, Hans L (2010) Template masters for substrate conformal imprint lithography generated by charged particle nanopatterning techniques. Proc SPIE 7545:7545OS-1–7545OS-13

    Google Scholar 

  69. Ahn SW, Lee KD, Kim JS, Kim SH, Lee SH, Park JD, Yoon PW (2005) Fabrication of subwavelength aluminum wire grating using nanoimprint lithography and reactive ion etching. Microelectron Eng 78–79:314–318

    Article  Google Scholar 

  70. Hideki I, Kazuyuki K, Eigo K, Kouji U (2007) Critical issues study of nano-imprint tool for semiconductor volume production. Proc SPIE 6517:65170M–65170P

    Google Scholar 

  71. Vratzov B, Fuchs A, Lemme M, Henschel W, Kurz H (2003) Large scale UV-based nanoimprint lithography. J Vac Sci Technol B 21:2760–2764

    Article  Google Scholar 

  72. Houle FA, Guyer E, Miller DC (2007) Adhesion between template materials and UV-cured nanoimprint resists. J Vac Sci Technol B 25:1179–1181

    Article  Google Scholar 

  73. Houle FA, Guyer E, Miller DC (2007) Adhesion between template materials and UV-cured nanoimprint resists. J Vac Sci Technol B 25:1179–1185

    Article  Google Scholar 

  74. Tong WM, Hector SD, Jung GY, Wu W, Ellenson J, Kramer K, Hostetler T, Richards SK, Williams RS (2005) Nanoimprint lithography: the path toward high tech, low cost devices. Proc SPIE 5751:46–55

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Weimin Zhou .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Zhou, W. (2013). Principles and Status of Nanoimprint Lithography. In: Nanoimprint Lithography: An Enabling Process for Nanofabrication. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-34428-2_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-34428-2_2

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-34427-5

  • Online ISBN: 978-3-642-34428-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics