Skip to main content

State of the Art on Analog Layout Automation

  • Chapter
  • First Online:
Generating Analog IC Layouts with LAYGEN II

Abstract

In the past few years, several tools for the automation of the analog integrated circuit (IC) cell and system layout design, with application on both new and reused designs have emerged. Yet, most of the layout design is still handmade, essentially because analog designers want to have total control over the different design options, and also, due to the fact that current fully automated generators of analog IC layouts produce solutions which are not yet competitive with the manually crafted ones. The state-of-the-art on analog layout automation that follows reveals that after many years of stagnation, electronic design automation (EDA) market is evolving, creating more efficient and complementary approaches to the existing tools. The chapter starts by addressing the placement problem in EDA, providing a brief overview of the most recent placement tools developed, followed by the presentation of the main references of automatic layout generation tools, and the recent advances in layout-aware analog synthesis approaches. Finally, the available commercial solutions for analog layout automation are outlined.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H. Graeb, Analog layout synthesis: a survey of topological approaches (Springer, Berlin, 2010)

    Google Scholar 

  2. M. Strasser, M. Eick, H. Gräb, U. Schlichtmann, F.M. Johannes, Deterministic analog circuit placement using hierarchically bounded enumeration and enhanced shape functions in Proceedings IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 306–313, Nov 2008

    Google Scholar 

  3. F. Balasa, S.C. Maruvada, K. Krishnamoorthy, On the exploration of the solution space in analog placement with symmetry constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(2), 177–191 (2004)

    Article  Google Scholar 

  4. B. Suman, P. Kumar, A survey of simulated annealing as a tool for single and multiobjective optimization. J. Oper. Res. Soc. 57, 1143–1160 (2006)

    Article  MATH  Google Scholar 

  5. D.F. Wong, C.L. Liu, A new algorithm for floorplan design, in Proceedings 23th ACM/IEEE Design Automation Conference (DAC), pp. 101–107, Jun 1986

    Google Scholar 

  6. H. Graeb, F. Balasa, R. Castro-Lopez, Y.-W. Chang, F.V. Fernandez, P.-H. Lin, M. Strasser, Analog layout synthesis—recent advances in topological approaches, in Proceedings on Design, Automation and Test in Europe (DATE), pp. 274–279, 2009

    Google Scholar 

  7. F. Balasa, K. Lampaert, Symmetry within the sequence-pair representation in the context of placement for analog design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(7), 721–731( HJul. 2000)

    Google Scholar 

  8. A.E. Eiben, J.E. Smith, Introduction to Evolutionary Computing (Springer, Berlin, 2003)

    Book  MATH  Google Scholar 

  9. S. Nakatake, K. Fujiyoshi, H. Murata, Y. Kajitani, Module packing based on the BSG-structure and IC layout applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17, 519–530 (1998)

    Article  Google Scholar 

  10. P.-N. Guo, C.-K. Cheng, T. Yoshimura, An O-tree representation of nonslicing floorplan and its applications, in Proceedings 36th ACM/IEEE Design Automation Conference (DAC), pp. 268–273, 1999

    Google Scholar 

  11. Y.-C. Chang, Y.-W. Chang, G.-M. Wu, S.-W. Wu, B*-trees: A new representation for nonslicing floorplans, in Proceedings 37th ACM/IEEE Design Automation Conference (DAC), pp. 458–463, 2000

    Google Scholar 

  12. P.-H. Lin, S.-C. Lin “Analog placement based on novel symmetry-island formulation, in Proceedings 44th Design Automation Conference (DAC), pp. 465–470, 2007

    Google Scholar 

  13. L. Jai-Ming, C. Yao-Wen, TCG: a transitive closure graph-based representation for non-slicing floorplans, in Proceedings 38th Design Automation Conference (DAC), pp. 764–769, 2001

    Google Scholar 

  14. L. Lin, Y.-W. Chang, TCG-S orthogonal coupling of P-admissible representations for general floorplans. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(5), 968–980 (2004)

    Article  Google Scholar 

  15. K. Krishnamoorthy, S. C. Maruvada, F. Balasa, Topological placement with multiple symmetry groups of devices for analog layout design, in Proceedings IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2032–2035, May 2007

    Google Scholar 

  16. S. Koda, C. Kodama, K. Fujiyoshi, Linear programming-based cell placement with symmetry constraints for analog IC layout. IEEE Trans. Comput. Aided Des. 26(4), 659–668 (2007)

    Article  Google Scholar 

  17. P.-H. Lin, S.-C. Lin, Analog placement based on hierarchical module clustering, in Proceedings 45th ACM/IEEE Design Automation Conference (DAC), pp. 50–55, June 2008

    Google Scholar 

  18. P.-H. Lin, H. Zhang, M. Wong, Y.-W. Chang, Thermal-driven analog placement considering device matching, in Proceedings 46th ACM/IEEE Design Automation Conference (DAC), pp. 593–598, Jul 2009

    Google Scholar 

  19. V. Meyer, ALSYN: Flexible rule-based layout synthesis for analog ICs. IEEE J. Solid State Circuits 28(3), 261–268 (1993)

    Article  Google Scholar 

  20. X. Jingnan, J. Vital, N. Horta, A SKILLTM—based library for retargetable embedded analog cores, in Procedings on Design, Automation and Test in Europe (DATE), pp. 768–769, Mar 2001

    Google Scholar 

  21. N. Jangkrajarng, S. Bhattacharya, R. Hartono, C. Shi, IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VLSI J. 36(4), 237–262 (2003)

    Article  Google Scholar 

  22. N. Lourenço, M. Vianello, J. Guilherme, N. Horta, LAYGEN—Automatic layout generation of analog ics from hierarchical template descriptions, in Proceedings Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), pp. 213–216, Jun 2006

    Google Scholar 

  23. L. Zhang, U. Kleine, Y. Jiang, An automated design tool for analog layouts, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(8), 881–894 (Aug 2006)

    Google Scholar 

  24. Y. Yilmaz, G. Dundar, Analog layout generator for CMOS circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(1), 32–45 (2009)

    Article  Google Scholar 

  25. L. Zhang, Z. Liu, “A performance-constrained template-based layout retargeting algorithm for analog integrated circuits, in Proceedings 47th ACM/IEEE Design Automation Conference (DAC), pp. 293–298, Jan 2010

    Google Scholar 

  26. J. Rijmenants, J. Litsios, T. Schwarz, M. Degrauwe, ILAC: An automated layout tool for analog CMOS circuits. IEEE J. Solid State Circuits 24(2), 417–425 (1989)

    Article  Google Scholar 

  27. J.M. Cohn, D.J. Garrod, R.A. Rutenbar, L.R. Carley, KOAN/ANAGRAM II: New tools for device-level analog placement and routing, IEEE J. Solid State Circuits 26(3) 330–342, Mar 1991

    Google Scholar 

  28. K. Lampaert, G. Gielen, W. Sansen, A performance-driven placement tool for analog integrated circuits. IEEE J. Solid State Circuits 30(7), 773–780 (1995)

    Article  Google Scholar 

  29. E. Malavasi, E. Charbon, E. Felt, A. Sangiovanni-Vincentelli, Automation of IC layout with analog constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8), 923–942 (1996)

    Article  Google Scholar 

  30. P. Khademsameni, M. Syrzycki, A tool for automated analog CMOS layout module generation and placement, in Proceedings IEEE Canadian Conference on Electrical and Computer Engineering, vol. 1, pp. 416–421, May 2002

    Google Scholar 

  31. R. Castro-Lopez, O. Guerra, E. Roca, F. Fernandez, An integrated layout-synthesis approach for analog ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(7), 1179–1189 (2008)

    Article  Google Scholar 

  32. P. Vancorenland, G. V. der Plas, M. Steyaert, G. Gielen, W. Sansen, A layout-aware synthesis methodology for RF circuits, in Proceedings IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 358–362, Nov 2001

    Google Scholar 

  33. M. Ranjan, W. Verhaegen, A. Agarwal, H. Sampath, R. Vemuri, G. Gielen, Fast, layout inclusive analog circuit synthesis using pre-compiled parasitic-aware symbolic performance models, in Proceedings Design Automation Conference and Test in Europe Conference (DATE), vol. 1, pp. 604–609, Feb 2004

    Google Scholar 

  34. Pradhan, R. Vemuri, Efficient synthesis of a uniformly spread layout aware Pareto surface for analog circuits, in Proceedings 22nd International Conference on VLSI Design, pp. 131–136, Jan 2009

    Google Scholar 

  35. H. Habal, H. Graeb, Constraint-based layout-driven sizing of analog circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(8), 1089–1102 (2011)

    Article  Google Scholar 

  36. “Ciranova,” http://www.ciranova.com/

  37. Mentor Graphics, http://www.mentor.com

  38. Cadence Design Systems Inc, http://www.cadence.com

  39. Tanner EDA, http://www.tannereda.com/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ricardo M. F. Martins .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 The Author(s)

About this chapter

Cite this chapter

Martins, R.M.F., Lourenço, N.C.C., Horta, N.C.G. (2013). State of the Art on Analog Layout Automation. In: Generating Analog IC Layouts with LAYGEN II. SpringerBriefs in Applied Sciences and Technology(). Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-33146-6_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-33146-6_2

  • Published:

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-33145-9

  • Online ISBN: 978-3-642-33146-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics