Skip to main content

Adaptive Dynamic Frequency Scaling for Thermal-Aware 3D Multi-core Processors

  • Conference paper
Computational Science and Its Applications – ICCSA 2012 (ICCSA 2012)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7336))

Included in the following conference series:

Abstract

3D integration technology can provide significant benefits of reduced interconnection delay and low power consumption in designing multi-core processors. However, the 3D integration technology magnifies the thermal challenges in multi-core processors due to high power density caused by stacking multiple layers vertically. For this reason, the 3D multi-core architecture cannot be practical without proper solutions to the thermal problems such as Dynamic Frequency Scaling(DFS). This paper investigates how the DFS handles the thermal problems in 3D multi-core processors from the perspective of the function-unit level. We also propose an adaptive DFS technique to mitigate the thermal problems in 3D multi-core processors by assigning different DFS levels to each core based on the corresponding cooling efficiency. Experimental results show that the proposed adaptive DFS technique reduces the peak temperature of 3D multi-core processors by up to 10.35°C compared to the conventional DFS technique, leading to the improved reliability.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Joyner, J.W., Zarkesh-Ha, P., Davis, J.A., Meindl, J.D.: A Three-Dimensional Stochastic Wire-Length Distribution for Variable Separation of Strata. In: Proc. of IEEE International Interconnect Technology Conference, SanFrancisco, USA, pp. 132–134 (June 2000)

    Google Scholar 

  2. Park, Y.J., Zeng, M., Lee, B.S., Lee, J.A., Kang, S.G., Kim, C.H.: Thermal Analysis for 3D Multi-core Processors with Dynamic Frequency Scaling. In: Proc. of IEEE/ACIS International Conference on Computer and Information Science, Kaminoyama, Japan, pp. 69–74 (August 2010)

    Google Scholar 

  3. Jang, H.B., Yoon, I., Kim, C.H., Shin, S., Chung, S.W.: The impact of liquid cooling on 3D multi-core processors. In: Proc. of the 2009 IEEE International Conference on Computer Design, California, USA, pp. 472–478 (October 2009)

    Google Scholar 

  4. Zhu, C., Gu, Z., Shang, L., Dick, R.P., Joseph, R.: Three-dimensional chip-multiprocessor run-time thermal management. IEEE Transactions on Computer-Aided Design of Lntegrated Circuits and Systems 27(8), 1479–1492 (2008)

    Article  Google Scholar 

  5. Puttaswamy, K., Loh, G.H.: Dynamic Instruction Schedulers in a 3-Dimensional Integration Technology. In: Proc. of the ACM Great Lake Symposium On VLSI, Philadelphia, USA, pp. 153–158 (May 2006)

    Google Scholar 

  6. Puttaswamy, K., Loh, G.H.: Implementing Caches in a 3D Technology for High Performance Processors. In: Proc. of the International Conference on Computer Design, San Jose, USA, pp. 525–532 (October 2005)

    Google Scholar 

  7. Reed, P., Yeung, G., Black, B.: Design Aspects of a Microprocessor Data Cache using 3D Die Interconnect Technology. In: Proc. of the International Conference on Integrated Circuit Design and Technology, pp. 15–18 (May 2005)

    Google Scholar 

  8. Puttaswamy, K., Loh, G.H.: Thermal Analysis of a 3D Die Stacked High Performance Microprocessor. In: Proc. of ACM Great Lakes Symposium on VLSI, Philadelphia, USA, pp. 19–24 (May 2006)

    Google Scholar 

  9. Davis, W.R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A.M., Steer, M., Franzon, P.D.: Demystifying 3D ICs: The Pros and Cons of Going Vertical. IEEE Design Test Computers 22, 498–510 (2005)

    Article  Google Scholar 

  10. Cong, J., Luo, G.J., Wei, J., Zhang, Y.: Thermal-Aware 3D IC Placement Via Transformation. In: Proc. of ASP-DAC (2007), Yokohama, Japan, pp. 780–785 (January 2007)

    Google Scholar 

  11. Brooks, D., Martonosi, M.: Dynamic Thermal Management for High-performance Microprocessors. In: Proc. of the 7th International Symposium on High-Performance Computer Architecture, Monterrey, Mexico, pp. 171–182 (January 2001)

    Google Scholar 

  12. Coskun, A.K., Ayala, J.L., Atienza, D., Rosing, T.S., Leblebici, Y.: Dynamic Thermal Management in 3D Multicore Architectures. In: Proc. of Design, Automation & Test in Europe Conference & Exhibition, Nice, France, pp. 1410–1415 (April 2005)

    Google Scholar 

  13. Kumar, R., Zyuban, V., Tullsen, D.M.: Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. In: Proc. of the 32th Annual International Symposium on Computer Architecture, Madison, USA, pp. 408–419 (June 2005)

    Google Scholar 

  14. Takahashi, K., Sekiguchi, M.: Through Silicon Via and 3-D Wafer/Chip Stacking Technology. In: Proc. of the 2006 Symposium on VLSI Circuit Digest of Technical Papers, Honolulu, USA, pp. 89–92 (June 2006)

    Google Scholar 

  15. Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., Jiang, L., Loh, G.H., McCauley, D., Morrow, P., Nelson, D.W., Pantuso, D., Reed, P., Rupley, J., Shankar, S., Shen, J., Webb, C.: Die Stacking (3D) Microarchitecture. In: Proc. the 39th Annual IEEE/ACM International Symposium on Microarchitecture, Florida, USA, pp. 469–479 (December 2006)

    Google Scholar 

  16. Kumar, A., Shang, L., Peh, L.S., Jha, N.K.: System-level dynamic thermal management for high-performance microprocessors. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27(1) (January 2008)

    Google Scholar 

  17. Mishra, R., Rastogi, N., Zhu, D., Mosse, D., Melhem, R.: Energy Aware Scheduling for Distributed Real-Time Systems. In: The Proc. International Parallel and Distributed Processing Symposium, Nice, France, pp. 21–30 (April 2003)

    Google Scholar 

  18. Zhou, X., Xu, Y., Du, Y., Zhang, Y., Yang, J.: Thermal Management for 3D Processors via Task Scheduling. In: Proc. of the 2008 37th International Conference on Parallel Processing, Portland, USA, pp. 115–122 (September 2008)

    Google Scholar 

  19. Skadron, K., Stan, M.R., Sankaranarayanan, K., Huang, W., Velusamy, S., Tarjan, D.: Temperature-aware microarchitecture: modeling and implementation. ACM Transactions on Architecture and Code Optimization 1(1), 94–125 (2004)

    Article  Google Scholar 

  20. Shi, B., Zhang, Y., Srivastava, A.: Dynamic Thermal Management for Single and Multicore Processors Under Soft Thermal Constraints. In: Proc. of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design, Poster, Austin, USA (August 2010)

    Google Scholar 

  21. Li, M., Zhao, W.: Visiting power laws in cyber-physical networking systems. Mathematical Problems in Engineering 2012, Article ID 302786, 13 pages (2012)

    Google Scholar 

  22. Li, M., Zhao, W.: Asymptotic identity in min-plus algebra: a report on CPNS. Computational and Mathematical Methods in Medicine 2012, Article ID 154038, 11 pages (2012)

    Google Scholar 

  23. Kessler, P.E.: The Alpha 21264 Microprocessor. IEEE Micro 19(2), 24–36 (1999)

    Article  MathSciNet  Google Scholar 

  24. Burger, D.C., Austin, T.M.: The SimpleScalar tool set, version 2.0. ACM SIGARCH CAN 25(3), 13–25 (1997)

    Article  Google Scholar 

  25. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: a framework for architectural-level power analysis and optimizations. In: Proc. of the 27th International Symposium on Computer Architecture, pp. 83–94 (June 2000)

    Google Scholar 

  26. Henning, J.L.: SPEC CPU 2000: Measuring CPU Performance in the New Millennium. IEEE Computer 33(7), 28–35 (2000)

    Article  Google Scholar 

  27. Hotspot, http://lava.cs.virginia.edu/HotSpot

  28. CRC Press, CRC Handbook of Chemistry, http://www.hbcpnetbase.com

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Choi, H.J., Park, Y.J., Lee, HH., Kim, C.H. (2012). Adaptive Dynamic Frequency Scaling for Thermal-Aware 3D Multi-core Processors. In: Murgante, B., et al. Computational Science and Its Applications – ICCSA 2012. ICCSA 2012. Lecture Notes in Computer Science, vol 7336. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-31128-4_44

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-31128-4_44

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-31127-7

  • Online ISBN: 978-3-642-31128-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics