Skip to main content

A Run-Time Task Migration Scheme for an Adjustable Issue-Slots Multi-core Processor

  • Conference paper
  • 1323 Accesses

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7199))

Abstract

In this paper, we present a run-time task migration scheme for an adjustable/reconfigurable issue-slots very long instruction word (VLIW) multi-core processor. The processor has four 2-issue ρ-VEX VLIW cores that can be merged together to form larger issue-width cores. With a task migration scheme, a code running on a core can be shifted to a larger or a smaller issue-width core for increasing the performance or reducing the power consumption of the whole system, respectively. All the cores can be utilized in an efficient manner, as a core needed for a specific job can be freed at run-time by shifting its running code to another core. The task migration scheme is realized with the implementation of interrupts on the ρ-VEX cores. The design is implemented in a Xilinx Virtex-6 FPGA. With different benchmarks, we demonstrate that migrating a task running on a smaller issue-width core to a larger issue-width core at run-time results in a considerable performance gain (up to 3.6x). Similarly, gating off one, two, three, or four cores can reduce the dynamic power consumption of the whole system by 24%, 42%, 61%, or 81%, respectively.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. H. P. Labs. VEX Toolchain, http://www.hpl.hp.com/downloads/vex/

  2. Acquaviva, A., Alimonda, A., Carta, S., Pittau, M.: Assessing Task Migration Impact on Embedded Soft Real-Time Streaming Multimedia Applications. EURASIP Journal on Embedded Systems, 1–15 (2008)

    Google Scholar 

  3. Anjam, F., Nadeem, M., Wong, S.: Targeting Code Diversity with Run-time Adjustable Issue-slots in a Chip Multiprocessor. In: Design, Automation, and Test in Europe Conference, pp. 1358–1363 (2011)

    Google Scholar 

  4. Briao, E.W., Barcelos, D., Wronski, F., Wagner, F.R.: Impact of Task Migration in NoC-based MPSoCs for Soft Real-time Applications. In: International Conference on VLSI-SoC, pp. 296–299 (2007)

    Google Scholar 

  5. Cuesta, D., Ayala, J.L., Hidalgo, J.I., Atienza, D., Acquaviva, A., Macii, E.: Adaptive Task Migration Policies for Thermal Control in MPSoCs. In: International Symposium on VLSI, pp. 110–115 (2010)

    Google Scholar 

  6. Faraboschi, P., Brown, G., Fisher, J.A., Desoli, G., Homewood, F.: Lx: A Technology Platform for Customizable VLIW Embedded Processing. In: International Symposium on Computer Architecture, pp. 203–213 (2000)

    Google Scholar 

  7. Fisher, J.A., Faraboschi, P., Young, C.: Embedded Computing: A VLIW Approach to Architecture, Compilers and Tools. Morgan Kaufmann (2005)

    Google Scholar 

  8. Ge, Y., Malani, P., Qiu, Q.: Distributed Task Migration for Thermal Management in Many-Core Systems. In: Design Automation Conference, pp. 579–584 (2010)

    Google Scholar 

  9. Hsieh, K.Y., Lin, Y.C., Huang, C.C., Lee, J.K.: Enhancing Microkernel Performance on VLIW DSP Processors via Multiset Context Switch. Journal of Signal Processing Systems 51, 257–268 (2008)

    Article  Google Scholar 

  10. Iseli, C., Sanchez, E.: Spyder: A Reconfigurable VLIW Processor using FPGAs. In: FPGAs for Custom Computing Machines, pp. 17–24 (1993)

    Google Scholar 

  11. Jahn, J., Faruque, M.A.A., Henkel, J.: CARAT: Context-Aware Runtime Adaptive Task Migration for Multi Core Architectures. In: Design, Automation, and Test in Europe Conference, pp. 1–6 (2011)

    Google Scholar 

  12. Jones, A.K., Hoare, R., Kusic, D., Fazekas, J., Foster, J.: An FPGA-based VLIW Processor with Custom Hardware Execution. In: International Symposium on Field Programmable Gate Arrays, pp. 107–117 (2005)

    Google Scholar 

  13. Katre, K.M., Ramaprasad, H., Sarkar, A., Mueller, F.: Policies for Migration of Real-Time Tasks in Embedded Multi-Core Systems. In: Real-Time Systems Symposium, pp. 17–20 (2009)

    Google Scholar 

  14. Li, T., Brett, P., Hohlt, B., Knauerhase, R., McElderry, S., Hahn, S.: Operating System Support for Shared-ISA Asymmetric Multi-core Architectures. In: Workshop on the Interaction between Operating Systems and Computer Architecture, pp. 19–26 (2008)

    Google Scholar 

  15. Ozer, E., Sathaye, S.W., Menezes, K.N., Banerjia, S., Jennings, M.D., Conte, T.M.: A Fast Interrupt Handling Scheme for VLIW Processors. In: International Conference on Parallel Architectures and Compilation Techniques, pp. 136–141 (1998)

    Google Scholar 

  16. Ozturk, O., Kandemir, M., Son, S.W., Karakoy, M.: Selective Code/Data Migration for Reducing Communication Energy in Embedded MpSoC Architectures. In: Great Lakes Symposium on VLSI, pp. 386–391 (2006)

    Google Scholar 

  17. Richmond, M., Hitchens, M.: A New Process Migration Algorithm. ACM SIGOPS Operating Systems Review 31(1), 31–42 (1997)

    Article  Google Scholar 

  18. Saghir, M.A.R., El-Majzoub, M., Akl, P.: Customizing the Datapath and ISA of Soft VLIW Processors. In: De Bosschere, K., Kaeli, D., Stenström, P., Whalley, D., Ungerer, T. (eds.) HiPEAC 2007. LNCS, vol. 4367, pp. 276–290. Springer, Heidelberg (2007)

    Chapter  Google Scholar 

  19. Sarkar, A., Mueller, F., Ramaprasad, H., Mohan, S.: Push-Assisted Migration of Real-Time Tasks in Multi-Core Processors. In: Conference on Languages, Compilers, and Tools for Embedded Systems, pp. 80–89 (2009)

    Google Scholar 

  20. Seo, E., Jeong, J., Park, S., Lee, J.: Energy Efficient Scheduling of Real-Time Tasks on Multicore Processors. IEEE Transactions on Parallel and Distributed Systems 19(11), 1540–1552 (2008)

    Article  Google Scholar 

  21. Smith, J.M.: A Survey of Process Migration Mechanisms. ACM SIGOPS Operating Systems Review 22(3), 29–40 (1988)

    Article  Google Scholar 

  22. Snyder, J.S., Whalley, D.B., Baker, T.P.: Fast Context Switches: Compiler and Architectural Support for Preemptive Scheduling. Microprocessors and Microsystems 19, 35–42 (2000)

    Article  Google Scholar 

  23. Wong, S., Anjam, F.: The Delft Reconfigurable VLIW Processor. In: International Conference on Advanced Computing and Communications, pp. 242–251 (2009)

    Google Scholar 

  24. Wong, S., van As, T., Brown, G.: ρ-VEX: A Reconfigurable and Extensible Softcore VLIW Processor. In: International Conference on Field-Programmable Technologies, pp. 369–372 (2008)

    Google Scholar 

  25. Zheng, L.: A Task Migration Constrained Energy-Efficient Scheduling Algorithm for Multiprocessor Real-time Systems. In: International Conference on Wireless Communications, Networking and Mobile Computing, pp. 3055–3058 (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Anjam, F., Kong, Q., Seedorf, R., Wong, S. (2012). A Run-Time Task Migration Scheme for an Adjustable Issue-Slots Multi-core Processor. In: Choy, O.C.S., Cheung, R.C.C., Athanas, P., Sano, K. (eds) Reconfigurable Computing: Architectures, Tools and Applications. ARC 2012. Lecture Notes in Computer Science, vol 7199. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-28365-9_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-28365-9_9

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-28364-2

  • Online ISBN: 978-3-642-28365-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics