Skip to main content

A Modular Simulator Framework for Network-on-Chip Based Manycore Chips Using UNISIM

  • Chapter
Transactions on High-Performance Embedded Architectures and Compilers IV

Part of the book series: Lecture Notes in Computer Science ((THIPEAC,volume 6760))

Abstract

NoC-based manycore chips are considered as emerging platforms of significant importance but so far there is no public accessible architectural simulator which allows coupled simulation of NoC and cores for relevant research. This paper presents a modular cycle-level simulator framework developed using UNISIM and its applicability is exemplified by building a simulator which models a message-passing distributed memory architecture with an NoC and supports coupled simulation. Simulation of a MPI-based parallel program on this simulator shows that performance metrics, such as throughput, delay and overhead, can be accurately evaluated with the captured data of flits and messages. Simulators for different functionalities and architectures can be constructed by using this framework.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Asanovic, K., et al.: The Landscape of Parallel Computing Research: A View from Berkeley. TR UCB/EECS-2006-183, UC Berkeley (2006)

    Google Scholar 

  2. Benini, L., De Micheli, G.: Networks on Chips: A New SoC Paradigm. IEEE Computer 35, 70–78 (2002)

    Article  Google Scholar 

  3. Vangal, S., et al.: An 80-tile 1.28TFlops Network-on-Chip in 65nm CMOS. In: Proceedings of ISSCC 2007 (2007)

    Google Scholar 

  4. Tilera (2008), http://www.tilera.com

  5. August, D., et al.: UNISIM: An Open Simulation Environment and Library for Complex Architecture Design and Collaborative Development. IEEE Comp. Arch. Letters (August 2007)

    Google Scholar 

  6. UNISIM (2008), https://unisim.org/site

  7. Balfour, J., Dally, W.J.: Design Tradeoffs for Tiled CMP On-Chip Networks. In: International Conference on Supercomputing (2006)

    Google Scholar 

  8. Radulescu, A., et al.: An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration. IEEE Trans. on CAD of Integrated Circuits and Systems 24(1), 4–17 (2005)

    Article  Google Scholar 

  9. Goossens, K., et al.: A Ethereal network on chip: concepts, architectures, and implementations. IEEE Design & Test of Computers 22(5), 414–421 (2005)

    Article  Google Scholar 

  10. Guerrier, P., Greiner, A.: A generic architecture for on-chip packet-switched interconnections. In: Proceedings of DATE 2000 (2000)

    Google Scholar 

  11. Bhojwani, P., Mahapatra, R.: Interfacing Cores with On-chip Packet-Switched Networks. In: Proceedings of VLSI 2003 (2003)

    Google Scholar 

  12. Pande, P.P., et al.: Performance Evaluation and Design Trade-offs for Network on Chip Interconnect Architectures. IEEE Transactions on Computers 54(8) (2005)

    Google Scholar 

  13. Chiu, G.-M.: The odd-even turn model for adaptive routing. IEEE Transactions on Parallel and Distributed Systems 11(7) (2000)

    Google Scholar 

  14. Zhou, J., et al.: Adaptive fault-tolerant wormhole routing in 2D meshes. In: International Parallel and Distributed Processing Symposium (2001)

    Google Scholar 

  15. Hu, J., Marculescu, R.: DyAD - smart routing for networks-on-chip. In: Proceedings of ACM/IEEE DAC (2004)

    Google Scholar 

  16. Asica, G., et al.: Implementation and analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip. IEEE Transactions on Computers 57(6) (2008)

    Google Scholar 

  17. Message Passing Interface Forum, http://www.mpi-forum.org/

  18. GCC/glibc cross toolchains (2008), http://www.kegel.com/crosstool

  19. Hoxey, S., et al.: The PowerPC Compiler Writer’s Guide. IBM (1996)

    Google Scholar 

  20. Saldana, M., Chow, P.: TMD-MPI: An MPI Implementation for Multiple Processors Across Multiple FPGAs. In: Proceedings of FPL (2006)

    Google Scholar 

  21. NAS Parallel Benchmarks (2008), http://www.nas.nasa.gov/Resources/Software/npb.html

  22. Dally, W.J., Towles, B.P.: Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, San Francisco (2003)

    Google Scholar 

  23. Benini, L., De Micheli, G.: Networks on Chips: Technology and Tools. Morgan Kaufmann Publishers, San Francisco (2006)

    Book  Google Scholar 

  24. Peh, L.-S., Dally, W.J.: A Delay Model and Speculative Architecture for Pipelined Routers. In: Proceedings of ISCA 2001 (2001)

    Google Scholar 

  25. Mullins, R., et al.: Low-Latency Virtual-Channel Routers for On-Chip Networks. In: Proceedings of ISCA 2004 (2004)

    Google Scholar 

  26. Kumar, A., et al.: A 4.6Tbits/s 3.6GHz Single-cycle NoC Router with a Novel Switch Allocator in 65nm CMOS. In: Proceedings of 25th ICCD (2007)

    Google Scholar 

  27. Hoskote, Y., et al.: A 5-GHz Mesh Interconnect for a Teraflops Processor. IEEE Micro 27(5), 51–61 (2007)

    Article  Google Scholar 

  28. Zhang, M., Asanovic, K.: Victim Replication: Maximizing Capacity while Hiding Wire Delay in Tiled Chip Multiprocessors. In: Proceedings of ISCA 2005 (2005)

    Google Scholar 

  29. Brown, J.A., et al.: Proximity-aware directory-based coherence for multi-core processor architectures. In: Proceedings of SPAA 2007 (2007)

    Google Scholar 

  30. Azimi, M., et al.: Integration Challenges and Tradeoffs for Tera-scale Architectures. Intel Technology Journal (2007)

    Google Scholar 

  31. Agarwal, A.: Tiled Multicore Processors: The Four Stages of Reality. Keynote talk, Micro 40 (2007)

    Google Scholar 

  32. Owens, J.D., et al.: Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5), 96–108 (2007)

    Article  Google Scholar 

  33. del Cuvillo, J., et al.: FAST: A Functionally Accurate Simulation Toolset for the Cyclops-64 Cellular Architecture. In: MoBS 2005 Workshop in Conjunction with ISCA 2005 (2005)

    Google Scholar 

  34. Wang, H., et al.: Orion: A Power-Performance Simulator for Interconnection Networks. In: Proceedings of MICRO, vol. 35 (2002)

    Google Scholar 

  35. Eisley, N., Peh, L.-S.: High-Level Power Analysis for on-Chip Networks. In: Proceedings of CASES, vol. 7 (2004)

    Google Scholar 

  36. Lu, Z., Thid, R., et al.: NNSE: Nostrum network-on-chip simulation environment. In: Design, Automation and Test in Europe Conference (2005)

    Google Scholar 

  37. Noxim (2008), http://sourceforge.net/projects/noxim

  38. Jain, L., et al.: NIRGAM: A Simulator for NoC Interconnect Routing and Application Modeling. In: Design, Automation and Test in Europe Conference (2007)

    Google Scholar 

  39. CellSim (2007), http://pcsostres.ac.upc.edu/cellsim/doku.php

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Liao, X., Jigang, W., Srikanthan, T. (2011). A Modular Simulator Framework for Network-on-Chip Based Manycore Chips Using UNISIM. In: Stenström, P. (eds) Transactions on High-Performance Embedded Architectures and Compilers IV. Lecture Notes in Computer Science, vol 6760. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24568-8_12

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24568-8_12

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24567-1

  • Online ISBN: 978-3-642-24568-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics