Skip to main content

A Case for Coordinated Resource Management in Heterogeneous Multicore Platforms

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 6161))

Abstract

Recent advances in multi- and many-core architectures include increased hardware-level parallelism (i.e., core counts) and the emergence of platform-level heterogeneity. System software managing these platforms is typically comprised of multiple independent resource managers (e.g., drivers and specialized runtimes) customized for heterogeneous vs. general purpose platform elements. This independence, however, can cause performance degradation for an application that spans diverse cores and resource managers, unless managers coordinate with each other to better service application needs. This paper first presents examples that demonstrate the need for coordination among multiple resource managers on heterogeneous multicore platforms. It then presents useful coordination schemes for a platform coupling an IXP network processor with x86 cores and running web and multimedia applications. Experimental evidence of performance gains achieved through coordinated management motivates a case for standard coordination mechanisms and interfaces for future heterogeneous many-core systems.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chapin, J., Rosenblum, M., Devine, S.: Hive: fault containment for shared-memory multiprocessors. SIGOPS Oper. Syst. Rev. (1995)

    Google Scholar 

  2. Krieger, O., Auslander, M., et al.: K42: building a complete operating system. In: Eurosys (2006)

    Google Scholar 

  3. Agarwala, S., Alegre, F., Schwan, K.: E2EProf: Automated End-to-End Performance Management for Enterprise Systems. In: DSN (2007)

    Google Scholar 

  4. Kumar, V., Schwan, K.: A state-space approach to SLA based management. In: NOMS (2008)

    Google Scholar 

  5. Stewart, C., Kelly, T., Zhang, A., Shen, K.: A dollar from 15 cents: cross-platform management for internet services. In: USENIX Annual Technical Conference (2008)

    Google Scholar 

  6. Zhu, X., Young, D.: 1000 Islands: Integrated Capacity and Workload Management for the Next Generation Data Center. In: ICAC (2008)

    Google Scholar 

  7. Kumar, S., Talwar, V., Schwan, K.: vManage: loosely coupled platform and virtualization management in data centers. In: ICAC (2009)

    Google Scholar 

  8. Gupta, V., Gavrilovska, A., Schwan, K., et al.: GViM: GPU-accelerated virtual machines. In: HPCVirt (2009)

    Google Scholar 

  9. Barham, P., Dragovic, B., Fraser, K., et al.: Xen and the art of virtualization. In: SOSP (2003)

    Google Scholar 

  10. Hong, S., Kim, H.: An analytical model for a GPU architecture with memory-level and thread-level parallelism awareness. In: ISCA (2009)

    Google Scholar 

  11. AMD Opteron six-core rocessors, http://bit.ly/8oe8uU

  12. AMD Fusion Processors, http://bit.ly/7fA5sb

  13. Darwin: The Streaming Server, http://bit.ly/f4EWU

  14. Intel QuickPath Interconnect, http://bit.ly/5OYmVP

  15. Intel Nehalem Processors, http://bit.ly/5eNDKy

  16. Larrabee: An x86 many-core architecture for visual computing, http://bit.ly/5sgX8T

  17. Howard, J., Dighe, S., et al.: A 48-Core IA-32 Message-Passing Processor with DVFS in 45nm CMOS. In: International Solid State Circuits Conference (2010)

    Google Scholar 

  18. IBM Corporation: A Wire-speed Power processor: 2.3 GHz 45 nm SOI with 16 cores and 64 threads. In: International Solid State Circuits Conference (2010)

    Google Scholar 

  19. Adiletta, M., Rosenbluth, M., et al.: The Next Generation of Intel IXP Network Processors. Intel Technology Journal (2002)

    Google Scholar 

  20. Govil, K., Teodosiu, D., et al.: Cellular disco: resource management using virtual clusters on shared-memory multiprocessors. ACM Trans. Comput. Syst. (2000)

    Google Scholar 

  21. Nightingale, E.B., Hodson, O., et al.: Helios: heterogeneous multiprocessing with satellite kernels. In: SOSP (2009)

    Google Scholar 

  22. Nathuji., R., Schwan., K., et al.: VPM tokens: virtual machine-aware power budgeting in datacenters. In: Cluster Computing (2009)

    Google Scholar 

  23. Wickizer, S.B., Chen, H., et al.: Corey: An Operating system for Many Cores. In: OSDI (2008)

    Google Scholar 

  24. Baumann, A., Barham, P., et al.: The multikernel: a new OS architecture for scalable multicore systems. In: SOSP (2009)

    Google Scholar 

  25. Barham, P., Donnelly, A., Isaacs, R., Mortier, R.: Using Magpie for Request Extraction and Workload Modelling. In: OSDI (2004)

    Google Scholar 

  26. Cherkasova, L., Gupta, D., Vahdat, A.: Comparison of the three CPU schedulers in Xen. SIGMETRICS Perform. Eval. Rev. (2007)

    Google Scholar 

  27. Lee, M., Krishnakumar., A.S., Krishna., P., Singh, N., Yajnik, S.: Supporting soft real-time tasks in the xen hypervisor. In: VEE (2010)

    Google Scholar 

  28. Butrico, M., Da Silva, D., Krieger, O., et al.: Specialized execution environments. SIGOPS Oper. Syst. Rev. (2008)

    Google Scholar 

  29. Bala, V., Bruck, J., et al.: CCL: A Portable and Tunable Collective Communication Library for Scalable Parallel Computers. IEEE Transactions on Parallel and Distributed Systems (1995)

    Google Scholar 

  30. Frey, J., Tannenbaum, T., et al.: Condor-G: A Computation Management Agent for Multi-Institutional Grids. In: Cluster Computing (2002)

    Google Scholar 

  31. Govindan, S., Choi, J., Nath, A.R., et al.: Xen and Co.: Communication-Aware CPU Management in Consolidated Xen-Based Hosting Platforms. IEEE Transactions on Computers (2009)

    Google Scholar 

  32. Ongaro, D., Cox, A.L., Rixner, S.: Scheduling I/O in virtual machine monitors. In: VEE (2008)

    Google Scholar 

  33. Anderson, T.E., Bershad, B.N., et al.: Scheduler Activations: Effective Kernel Support for the User-level Management of Parallelism. ACM Transactions on Computer Systems (1992)

    Google Scholar 

  34. Rosu, M.-C., Schwan, K., Fujimoto, R.: Supporting Parallel Applications on Clusters of Workstations: The

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Tembey, P., Gavrilovska, A., Schwan, K. (2011). A Case for Coordinated Resource Management in Heterogeneous Multicore Platforms. In: Varbanescu, A.L., Molnos, A., van Nieuwpoort, R. (eds) Computer Architecture. ISCA 2010. Lecture Notes in Computer Science, vol 6161. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24322-6_27

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24322-6_27

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24321-9

  • Online ISBN: 978-3-642-24322-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics