Skip to main content

Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization

  • Conference paper
Book cover Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation (PATMOS 2011)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6951))

Abstract

Contrary to the existing techniques to realize inexact circuits that relied mostly on scaling of supply voltage or pruning of “least-significant” components in conventional correct circuits to achieve cost (energy, delay and/or area) and accuracy tradeoffs, we propose a novel technique called Probabilistic Logic Minimization which relies on synthesizing an inexact circuit in the first place resulting in zero hardware overhead. Extensive simulations of the datapath elements designed using the proposed technique demonstrate that normalized gains as high as 2X-9.5X in the Energy-Delay-Area product can be obtained when compared to the corresponding correct designs, with a relative error magnitude percentage as low as 0.001% upto 1%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Mediabench, http://euler.slu.edu/~fritts/mediabench

  2. NCH Software, http://www.nch.com.au/acm/index.html

  3. Alioto, M., Palumbo, G.: Impact of supply voltage variations on full adder delay: analysis and comparison. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14(12), 1322–1335 (2006)

    Article  Google Scholar 

  4. Bharghava, R., Abinesh, R., Purini, S., Govindatajulu, R.: Design of low power systems using inexact logic circuits. The Journal of Low Power Electronics 6(3), 401–414 (2010)

    Article  Google Scholar 

  5. Chakrapani, L.N.B., Muntimadugu, K.K., Lingamneni, A., George, J., Palem, K.V.: Highly energy and performance efficient embedded computing through approximately correct arithmetic: A mathematical foundation and preliminary experimental validation. In: The Proc. of CASES, pp. 187–196 (2008)

    Google Scholar 

  6. Choudhury, M., Mohanram, K.: Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In: The Proc. of Design, Automation and Test in Europe, pp. 903–908 (March 2008)

    Google Scholar 

  7. George, J., Marr, B., Akgul, B.E.S., Palem, K.: Probabilistic arithmetic and energy efficient embedded signal processing. In: Proc. of the IEEE/ACM Intl. Conf. on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 158–168 (2006)

    Google Scholar 

  8. Lingamneni, A., Enz, C., Nagel, J.L., Palem, K., Piguet, C.: Energy parsimonious circuit design through probabilistic pruning. In: The Proc. of the 14th Design, Automation and Test in Europe, pp. 764–769 (March 2011)

    Google Scholar 

  9. Mohapatra, D., Karakonstantis, G., Roy, K.: Significance driven computation: A voltage-scalable, variation-aware, quality-tuning motion estimator. In: The Proc. of the ISLPED, pp. 195–200 (2009)

    Google Scholar 

  10. Narayanan, S., Sartori, J., Kumar, R., Jones, D.: Scalable stochastic processors. In: The Proc. of the Design, Automation and Test in Europe, pp. 335–338 (March 2010)

    Google Scholar 

  11. Palem, K.V.: Energy aware algorithm design via probabilistic computing: From algorithms and models to Moore’s law and novel (semiconductor) devices. In: The Proc. of the CASES, pp. 113–117 (2003)

    Google Scholar 

  12. Palem, K.V., Chakrapani, L.N., Kedem, Z.M., Lingamneni, A., Muntimadugu, K.K.: Sustaining moore’s law in embedded computing through probabilistic and approximate design: retrospects and prospects. In: Proc. of the CASES, pp. 1–10 (2009)

    Google Scholar 

  13. Shin, D., Gupta, S.: Approximate logic synthesis for error tolerant applications. In: The Proc. of Design, Automation and Test in Europe Conference (DATE), pp. 957–960 (March 2010)

    Google Scholar 

  14. Varatkar, G.V., Shanbhag, N.R.: Energy-efficient motion estimation using error-tolerance. In: The Proc. of the ISLPED, pp. 113–118 (2006)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Lingamneni, A., Enz, C., Palem, K., Piguet, C. (2011). Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization . In: Ayala, J.L., García-Cámara, B., Prieto, M., Ruggiero, M., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2011. Lecture Notes in Computer Science, vol 6951. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24154-3_21

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24154-3_21

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24153-6

  • Online ISBN: 978-3-642-24154-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics