Skip to main content

Technology Structural Alternatives in Standard CMOS Technologies for Low-Power Analog Design

  • Chapter
Low Power RF Circuit Design in Standard CMOS Technology

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 104))

  • 2457 Accesses

Abstract

As it is well known by electronic designers, each fabrication process has its own design rules and specific features. However, there are some alternatives usually provided by almost every standard foundry, presented and discussed in this chapter. Section 4.1 explains Variable Threshold CMOS devices and the use of multi-threshold transistors to reduce power consumption. The different body biasing alternatives are shown in Section 4.1. Gate length downscaling is a constant trend in modern CMOS processes, its impact being crucial in several key parameters of RF circuit performance. Therefore in Section 4.2 a discussion on the different cross-influences is presented. Finally, Chapter 4 closes with a discussion of the benefits of SOI processes.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ahmadi, M.M.: A new modeling and optimization of gain-boosted cascode amplifier for high-speed and low-voltage applications. IEEE Transactions on Circuits and Systems II: Express Briefs 53(3), 169–173 (2006)

    Article  Google Scholar 

  2. Alvarado, U., et al.: Low Frequency Noise Analysis and Minimization in Gilbert-Cell Based Mixers for Direct Conversion (Zero-IF) Low-Power Front-Ends. International Journal of Circuit Theory and Applications (Wiley) 38, 123–129 (2010)

    Google Scholar 

  3. Andricciola, P., Tuinhout, H.: Influence of halo doping profiles on MOS transistor mismatch. In: Annual Workshop on Semiconductor Advances for Future Electronics and Sensors, SAFE (2009)

    Google Scholar 

  4. Annema, A.-J., Nauta, B., van Langevelde, R., Tuinhout, H.: Analog Circuits in Ultra-Deep-Submicron CMOS. IEEE JSSC 40(1) (January 2005)

    Google Scholar 

  5. Anthony, M., et al.: A Process-Scalable Low-Power Charge-Domain 13-bit Pipeline ADC. In: Dig. VLSI Circuits Symposium (June 2008)

    Google Scholar 

  6. Armstrong, G.A., French, W.D.: Suppresion of Parasitic Bipolar Effects in Thin-Film SOI Transistors. IEEE Electron Device Letters 13(4), 198 (1992)

    Article  Google Scholar 

  7. Assaderaghi, F., et al.: DynamicThreshold-Voltage MOSFET (DTMOS) for Ultra-Low Voltage VLSI. IEEE Transactions on Electron Devices 44(33), 414 (1997)

    Article  Google Scholar 

  8. Baschirotto, A.: Analog Design in scaled technologies. IDESA Advanced Tutorial Series (April 2009)

    Google Scholar 

  9. Chae, Y., et al.: A 0.7V 36μW 85dB-DR Audio ∆Σ Modulator Using Class-C Inverter. ISSCC Dig. Techn. Papers, pp. 491–492 (February 2008)

    Google Scholar 

  10. Chang, M.H., et al.: A Highly Manufacturable 0.25um Multiple-Vt Dual Gate Oxide CMOS Process for Logic/Embedded IC Foundry Technology. In: Proc. Symp. VLSI Technology, Digest of Technical Papers, pp. 150–151. IEEE Press, Los Alamitos (1998)

    Google Scholar 

  11. Chen, G., et al.: Circuit Design Advances for Wireless Sensing Applications. Proceedings of the IEEE 98(11) (November 2010)

    Google Scholar 

  12. Daghighi, A., Asgari-Khoshooie, A.: A width-dependent body-voltage model to obtain body resistance in PD SOI MOSFET technology. In: International Conference on Advanced Semiconductor Devices & Microsystems, ASDAM (2010)

    Google Scholar 

  13. Fiorenza, J.K., et al.: Comparator-Based Switched-Capacitor Circuits for Scaled CMOS Technologies. IEEE J. Solid-State Circuits 41(12), 2658–2668 (2006)

    Article  Google Scholar 

  14. Garg, M., Suryagandh, S.S.: Scaling Impact on Analog Performance of Sub-100nm MOS-FETs for Mixed Mode Applications (2003)

    Google Scholar 

  15. Gosset, G., et al.: Very High Efficiency 13.56 MHz RFID Input Stage Voltage Multipliers Based On Ultra Low Power MOS Diodes. In: IEEE International Conference on RFID (2008)

    Google Scholar 

  16. Iijima, M., et al.: Dynamic threshold voltage control for dual supply voltage scheme on PD-SOI. IEICE Electron. Express 3(21), 453–458 (2006)

    Article  Google Scholar 

  17. Iwai, H.: Recent Status on Nano CMOS and Future Direction (2005)

    Google Scholar 

  18. Yi, J.-H., et al.: Analytical model for a transient floating body voltage in PD-SOI MOSFETs. In: IEEE International SOI Conference (2002)

    Google Scholar 

  19. Kawaguchi, H., Nose, K., Sakurai, T.: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current. In: IEEE International Solid State Circuits Conference, pp. 192–193 (1998)

    Google Scholar 

  20. Keshavarzi, A., et al.: Efectiveness of Reverse Body Bias for Low Power CMOS Circuits. In: 8th NASA Symposium on VLSI Design, pp. 231–239 (1999)

    Google Scholar 

  21. Yeo, K.-S., Roy, K.: Low-Voltage, Low-Power VLSI Subsystems. McGraw-Hill, New York (2005)

    Google Scholar 

  22. Langen, K., Huijsing, J.H.: Compact Low-Voltage Power Efficient Operational Amplifier Cellsfor VLSI. IEEE Journal of Solid-State Circuits 33(10), 1483–1496 (1998)

    Google Scholar 

  23. Lewyn, et al.: Analog Circuit Design in Nanoscale CMOS Technologies. Proceedings of the IEEE 97(10), 1687–1714 (2009)

    Article  Google Scholar 

  24. Mukadam, M.Y., et al.: Process variation compensation of a 4.6 GHz LNA in 65nm CMOS. In: Proceedings of the 2010 IEEE International Symposium on Circuits and Systems (ISCAS), p. 2490 (2010)

    Google Scholar 

  25. Mutoh, S., et al.: 1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS. IEEE Journal of Solid State Circuits 30(8), 847–854 (1996)

    Article  Google Scholar 

  26. Narendra, S., et al.: Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS. In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, ISLPED (2002)

    Google Scholar 

  27. Nazemi, A., et al.: A 10.3GS/s 6bit (5.1 ENOB at Nyquist) Time- Interleaved/Pipelined ADC Using Open-Loop Amplifiers and Digital Calibration in 90nm CMOS. In: Dig. VLSI Circuits Symposium (June 2008)

    Google Scholar 

  28. Nose, K., et al.: VTH-Hopping Schemeto Reduce Subthreshold Leakage for Low-Power Processors. IEEE Journal of Solid State Circuits 37(3), 413 (2002)

    Article  Google Scholar 

  29. Oh, I.Y., et al.: Synamic bias circuit of CMOS power amplifier sensing dissipated signals. Electronics Letters 45(14) (2009)

    Google Scholar 

  30. Oowaki, Y., et al.: A Sub-0.1um Circuit Design with Substrate-over-Biasing. ISSCC Digest Technical Papers, 88–89 (1998)

    Google Scholar 

  31. Pekarik, J., et al.: RFCMOS Technology from 0.25um to 65nm: The State of the Art. In: Proceedings of CICC 2004 (2004)

    Google Scholar 

  32. Ponton, D., et al.: Design of Ultra-Wideband Low-Noise Amplifiers in 45-nm CMOS Technology: Comparison Between Planar Bulk and SOI FinFET Devices. IEEE Transactions on Circuits and Systems I: Regular Papers 56(5), 920–932 (2009)

    Article  MathSciNet  Google Scholar 

  33. Quazy, M., et al.: A 512kb 8T SRAM Macro Operating Down to 0.57 V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45 nm SOI CMOS. IEEE Journal of Solid State Circuits 46(1), 85 (2011)

    Article  Google Scholar 

  34. Shahriari, M., Najm, F.N.: A gate-level timing model for SOI circuits. In: IEEE International Coference on Electronics, Circuits and Systems, ICECS (2001)

    Google Scholar 

  35. Singh, T., et al.: Feedback Biasing in Nanoscale CMOS Technologies. IEEE TCASII 56(5) (May 2009)

    Google Scholar 

  36. Torrens, G., et al.: Design Hardening of Nanometer SRAMs Through Transistor Width Modulation and Multi-Vt Combination. IEEE Trans. on Circuits and Systems II 57(4) (April 2010)

    Google Scholar 

  37. Valentian, A., et al.: Modeling subthreshold SOI logic for static timing analysis. IEEE Transactions on Very Large Scale Integration (VLSI) Circuits 12(6), 662–669 (2004)

    Article  Google Scholar 

  38. van Veldhoven, R.H.M., et al.: An Inverter-Based Hybrid Σ∆ Modulator. In: ISSCC Dig.Techn. Papers, pp. 493–494 (February 2008)

    Google Scholar 

  39. Zhai, B., et al.: Theoretical and practical limits of dynamic voltage scaling. In: Proc. 41st Annual Design Autom. Conference (June 2004)

    Google Scholar 

  40. Liu, Z., Kursun, V.: Characterization of wake-up delay versus sleep mode power consumption and sleep/active mode transition energy overhead tradeoffs in MTCMOS circuits. In: Midwest Symposium on Circuits and Systems, MWSCAS (2008)

    Google Scholar 

Download references

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this chapter

Cite this chapter

Alvarado, U., Bistué, G., Adín, I. (2011). Technology Structural Alternatives in Standard CMOS Technologies for Low-Power Analog Design. In: Low Power RF Circuit Design in Standard CMOS Technology. Lecture Notes in Electrical Engineering, vol 104. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-22987-9_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-22987-9_4

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-22986-2

  • Online ISBN: 978-3-642-22987-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics