Skip to main content

Evolution of Test Programs Exploiting a FSM Processor Model

  • Conference paper
Applications of Evolutionary Computation (EvoApplications 2011)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6625))

Included in the following conference series:

  • 1577 Accesses

Abstract

Microprocessor testing is becoming a challenging task, due to the increasing complexity of modern architectures. Nowadays, most architectures are tackled with a combination of scan chains and Software-Based Self-Test (SBST) methodologies. Among SBST techniques, evolutionary feedback-based ones prove effective in microprocessor testing: their main disadvantage, however, is the considerable time required to generate suitable test programs.

A novel evolutionary-based approach, able to appreciably reduce the generation time, is presented. The proposed method exploits a high-level representation of the architecture under test and a dynamically built Finite State Machine (FSM) model to assess fault coverage without resorting to time-expensive simulations on low-level models. Experimental results, performed on an OpenRISC processor, show that the resulting test obtains a nearly complete fault coverage against the targeted fault model.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bushard, L., Chelstrom, N., Ferguson, S., Keller, B.: DFT of the Cell Processor and its Impact on EDA Test Software. In: IEEE Asian Test Symposium, pp. 369–374 (2006)

    Google Scholar 

  2. Corno, F., Sanchez, E., Sonza Reorda, M., Squillero, G.: Automatic Test Program Generation – a Case Study. IEEE Design & Test of Computers 21(2), 102–109 (2004)

    Article  Google Scholar 

  3. Corno, F., Sonza Reorda, M., Squillero, G., Violante, M.: On the Test of Microprocessor IP Cores. In: DATE, pp. 209–213 (2001)

    Google Scholar 

  4. Gizopoulos, D., Psarakis, M., Hatzimihail, M., Maniatakos, M., Paschalis, A., Raghunathan, A., Ravi, S.: Systematic Software-Based Self-Test for pipelined processors. IEEE Transactions on Very Large Scale Integration (VLSI) 16(11), 1441–1453 (2008)

    Article  Google Scholar 

  5. Mak, T.M., Krstic, A., Cheng, K.-T., Wang, L.-C.: New challenges in delay testing of nanometer, multigigahertz designs. IEEE Design & Test of Computers 21(3), 241–248 (2004)

    Article  Google Scholar 

  6. May, G., Spanos, C.: Fundamentals of Semiconductor Manufacturing and Process Control, p. 428. Wiley-IEEE Press publisher (2006) ISBN: 9780471790280

    Google Scholar 

  7. Parvathala, P., Maneparambil, K., Lindsay, W.: FRITS – A Microprocessor Functional BIST Method. In: IEEE Intl. Test Conf., pp. 590–598 (2002)

    Google Scholar 

  8. Pradhan, D.K., Harris, I.G.: Practical Design Verification. Cambridge University Press, Cambridge (2009) ISBN: 9780521859721

    Book  MATH  Google Scholar 

  9. Psarakis, M., Gizopoulos, D., Sanchez, E., Sonza Reorda, M.: Microprocessor Software-Based Self-Testing. IEEE Design & Test of Computers 27(3), 4–19 (2010)

    Article  Google Scholar 

  10. Sanchez, E., Sonza Reorda, M., Squillero, G.: Test Program Generation From High-level Microprocessor Descriptions. In: Test and Validation of Hardware/Software Systems Starting from System-level Descriptions, 179 p. Springer, Heidelberg (2005) ISBN: 1-85233-899-7, pp. 83-106

    Google Scholar 

  11. Shen, J., Abraham, J.: Native mode functional test generation for processors with applications to self-test and design validation. In: Proceedings IEEE Intl. Test Conf., pp. 990–999 (1998)

    Google Scholar 

  12. Speek, H., Kerchoff, H.G., Sachdev, M., Shashaani, M.: Bridging the Testing Speed Gap: Design for Delay Testability. In: IEEE European Test Workshop, pp. 3–8 (2000)

    Google Scholar 

  13. Wang, S., Gupta, S.K.: ATPG for heat dissipation minimization during scan testing. In: ACM IEEE Design Automation Conference, pp. 614–619 (1997)

    Google Scholar 

  14. http://www.opencores.org/

  15. http://ugp3.sourceforge.net/

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Sanchez, E., Squillero, G., Tonda, A. (2011). Evolution of Test Programs Exploiting a FSM Processor Model. In: Di Chio, C., et al. Applications of Evolutionary Computation. EvoApplications 2011. Lecture Notes in Computer Science, vol 6625. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-20520-0_17

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-20520-0_17

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-20519-4

  • Online ISBN: 978-3-642-20520-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics