Skip to main content

Statistical Leakage Power Optimization of Asynchronous Circuits Considering Process Variations

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6448))

Abstract

Increasing levels of process variability in deep sub micron era has become a critical concern for performance and power constraint designs. This paper introduces a framework for the statistical leakage power minimization of template-based asynchronous circuits considering process variation. We propose a statistical Dual-Vt assignment of asynchronous circuits that considers both the variability in performance and leakage power consumption of a circuit. The utilized circuit model is an extended Timed Petri-Net named Variant-Timed Petri-Net which captures the dynamic behavior of the circuit with statistical delay and leakage power values. We applied a genetic algorithm that uses a 2-dimensional graph to calculate the fitness to each threshold voltage assignment. Experimental results show that using this statistically aware optimization, leakage power can be reduced by 40.5% and 54.4% for the mean and the variance values.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Tang, C.K., Lin, C.Y., Lu, Y.C.: An Asynchronous Circuit Design with Fast Forwarding Technique at Advanced Technology Node. In: Proceedings of ISQED 2008. IEEE Computer Society, Los Alamitos (2008)

    Google Scholar 

  2. Beerel, P.A.: Asynchronous Circuits: An Increasingly Practical Design Solution. In: Proceedings of ISQED 2002. IEEE Computer Society, Los Alamitos (2002)

    Google Scholar 

  3. Martin, A.J., et al.: The Lutonium: A Sub-Nanojoule Asynchronous 8051 Microcontroller. In: Proceedings of ASYNC 2003 (2003)

    Google Scholar 

  4. Yun, K.Y., Beerel, P.A., Vakilotojar, V., Dooply, A.E., Arceo, J.: A low-control-overhead asynchronous differential equation solver. In: Proceedings of ASYNC 1997 (1997)

    Google Scholar 

  5. Garnica, O., Lanchares, J., Hermida, R.: Fine-grain asynchronous circuits for low-power high performance DSP implementations. In: Proceedings of SiPS (2000)

    Google Scholar 

  6. Narendra, S.G., Chandrakasan, A. (eds.): Leakage in Nanometer CMOS Technologies. Springer, Heidelberg (2005)

    Google Scholar 

  7. Ghavami, B., Pedram, H.: Design of dual threshold voltages asynchronous circuits. In: Proceedings of ISLPED 2008 (2008)

    Google Scholar 

  8. Raji, M., Ghavami, B., Pedram, H.: Statistical Static Performance Analysis of Asynchronous Circuits Considering Process Variation. In: Proceedings ISQED 2009, pp. 291–296 (2009)

    Google Scholar 

  9. Raji, M., Ghavami, B., Pedram, H., Zarandi, H.R.: Process Variation Aware Performance Analysis of Asynchronous Circuits Considering Spatial Correlation. In: Monteiro, J., van Leuken, R. (eds.) PATMOS 2009. LNCS, vol. 5953, pp. 5–15. Springer, Heidelberg (2010)

    Chapter  Google Scholar 

  10. Orshansky, M., Nassif, S.R., Boning, D.: Design for Manufacturability and Statistical Design, A Constructive Approach, pp. 11–15. Springer, Heidelberg

    Google Scholar 

  11. Borkar, S., et al.: Parameter variation and Impact on Circuits and Microarchitecture. In: Proceedings of DAC 2003, pp. 338–342 (2003)

    Google Scholar 

  12. Rao, R., et al.: Parametric yield estimation considering leakage variability. In: Proceedings of DAC 2004, pp. 442–447 (June 2004)

    Google Scholar 

  13. Orshansky, M., Nassif, S.R., Boning, D.: Design for Manufacturability and Statistical Design, A Constructive Approach, pp. 11–15. Springer, Heidelberg (2008)

    Google Scholar 

  14. Wei, L., Chen, Z., Roy, K., Johnson, M.C., Ye, Y., De, V.K.: Design optimization of dual-threshold circuits for lowvoltage low-power applications. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 7(1), 16–24 (1999)

    Article  Google Scholar 

  15. Wong, C.G., Martin, A.J.: High-Level Synthesis of Asynchronous Systems by Data Driven Decomposition. In: Proceedings of DAC (2003)

    Google Scholar 

  16. Dinh Duc, A.V., Rigaud, J.B., Rezzag, A., Sirianni, A., Fragoso, J., Fesquet, L., Renaudin, M.: TASTCAD Tools: Tutorial. In: Proceedings of ASYNC (2002)

    Google Scholar 

  17. Prakash, P., Martin, A.J.: Slack Matching Quasi Delay-Insensitive Circuits. In: Proceedings of ASYNC, pp. 195–204 (2006)

    Google Scholar 

  18. Wong, C.G., Martin, A.J.: High-Level Synthesis of Asynchronous Systems by Data Driven Decomposition. In: Proceedings of 40th DAC, Anneheim, CA, USA (2003)

    Google Scholar 

  19. Beerel, P.A., Kim, N.-H., Lines, A., Davies, M.: Slack Matching Asynchronous Designs. In: Proceedings of ASYNC, Washington, DC, USA (2006)

    Google Scholar 

  20. Peterson, J.L.: Petrinet Theory and the Modeling of Systems. Prentice-Hall, Englewood Cliffs (1981)

    Google Scholar 

  21. Li, X., Le, J., Pileggi, L.T.: Statistical Performance Modeling and Optimization. In: Foundation and Trends in Electronic Design Automation, vol. 1(4), pp. 331–480 (2003)

    Google Scholar 

  22. Kuo, J.T., Cheng, W.C., Chen, L.: Multiobjective water resources systems analysis using genetic algorithms - application to Chou-Shui River Basin, Taiwan. Water Science and Technology 48(10), 71–77 (2003)

    Google Scholar 

  23. Raji, M., et al.: Process variation-aware performance analysis of asynchronous circuits. Microelectron. J. (2010) doi:10.1016/j.mejo.2009.12.013

    Google Scholar 

  24. Lane, B.: SystemC Language Reference Manual, Copyright © Open SystemC Initiative, San Jose, CA (2003)

    Google Scholar 

  25. Karp, R.M.: A characterization of the minimum cycle mean in a diagraph. Discrete Mathematics Journal 23, 309–311 (1978)

    Article  MATH  Google Scholar 

  26. Sheu, B.J., Scharfetter, D.L., Ko, P.K., Teng, M.C.: BSIM: Berkeley Short-Channel IGFET Model for MOS Transistors. IEEE Journal of Solid-State Circuits SC-22(4), 558–566 (1987)

    Article  Google Scholar 

  27. Chang, H., Sapatnekar, S.: Statistical timing analysis under spatial correlations. IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems 24(9), 1467–1482 (2005)

    Article  Google Scholar 

  28. Agarwal, A., Blaauw, D., Zolotov, V.: Statistical timing analysis forintra - die process variations with spatial correlations. In: Proceedings of ICCAD, pp. 900–907 (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Raji, M., Tajary, A., Ghavami, B., Pedram, H., Zarandi, H.R. (2011). Statistical Leakage Power Optimization of Asynchronous Circuits Considering Process Variations. In: van Leuken, R., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2010. Lecture Notes in Computer Science, vol 6448. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-17752-1_13

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-17752-1_13

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-17751-4

  • Online ISBN: 978-3-642-17752-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics