Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6448))

Abstract

Portable digital systems tend to be not just low power but power efficient as they are powered by low batteries or energy harvesters. Energy harvesting systems tend to provide nondeterministic, rather than stable, power over time. Existing memory systems use delay elements to cope with the problems under different Vdds. However, this introduces huge penalties on performance, as the delay elements need to follow the worst case timing assumption under the worst environment. In this paper, the latency mismatch between memory cells and the corresponding controller using typical delay elements is investigated and found to be highly variable for different Vdd values. A Speed Independent (SI) SRAM memory is then developed which can help avoid such mismatch problems. It can also be used to replace typical delay lines for use in bundled-data memory banks. A 1Kb SI memory bank is implemented based on this method and analysed in terms of the latency and power consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. International Technology Roadmap for Semiconductors, http://public.itrs.net/

  2. Martin, A.J.: The limitations to delay-insensitivity in asynchronous circuits. In: Dally, W.J. (ed.) Advanced Research in VLSI, pp. 263–278. MIT press, Cambridge (1990)

    Google Scholar 

  3. Sylvester, D., Agarwal, K., Shah, S.: Variability in nanometer CMOS: Impact, analysis, and minimization. Integration the VLSI journal (41), 319–339 (2008)

    Google Scholar 

  4. Saito, H., Kondratyev, A., Cortadella, J., Lavagno, L., Yakovlev, A.: What is the cost of delay insensitivity? In: Proc. ICCAD 1999, San Jose, CA, pp. 316–323 (November 1999)

    Google Scholar 

  5. Nielsen, L.S., Staunstrup, J.: Design and verification of a self-timed RAM. In: Proc. of the IFIP International Conference on VLSI 1995 (1995)

    Google Scholar 

  6. Sit, V.W.-Y., et al.: A four phase handshaking asynchronous static RAM design for self-timed systems. IEEE Journal of solid-state circuits 34(1), 90–96 (1999)

    Article  Google Scholar 

  7. Soon-Hwei, T., et al.: A 160Mhz 45mw asynchronous dual-port 1Mb CMOS SRAM. In: Proc. of IEEE Conference on Electron Devices and Solid-State Circuits (2005)

    Google Scholar 

  8. Dama, J., Lines, A.: GHz asynchronous SRAM in 65nm. In: Proc. of 15th IEEE Symposium on Asynchronous Circuits and Systems (2009)

    Google Scholar 

  9. Chang, M.F., Yang, S.M., Chen, K.T.: Wide Vdd embedded asynchronous SRAM with dual-mode self-timed technique for dynamic voltage systems. IEEE Trans. on Circuits and Systems I 56(8), 1657–1667 (2009)

    Article  MathSciNet  Google Scholar 

  10. Wang, A., Chandrakasan, A.: A 180mv subthreshold FFT processor using a minimum energy design methodology. IEEE Journal of Solid-State Circuits 40(1), 310–319 (2005)

    Article  Google Scholar 

  11. Sekiyama, A., et al.: A 1-V operating 256 Kb full CMOS SRAM. IEEE Journal of Solid-State Circuits 27(5), 776–782 (1992)

    Article  Google Scholar 

  12. Amrutur, B.S., Horowitz, A.: A Replica technique for wordline and sense control in low power SRAM’s. IEEE Journal of Solid-State Circuits 33(8), 1208–1219 (1998)

    Article  Google Scholar 

  13. Mokhov, A., et al.: Power elastic systems: Discrete event control, concurrency reduction and hardware implementation, Tech. Report NCL-EECE-MSD-TR-2009-151, School of EECE, New-castle University

    Google Scholar 

  14. Varshavsky, V., et al.: CMOS-based SRAM Cell”, USSR Patent Application 4049181/24/52011 (favourable decision made 10.10.86)

    Google Scholar 

  15. Zhai, B., et al.: A Sub-200mV 6T SRAM in 0.13um CMOS. In: Proc. of ISSCC (2007)

    Google Scholar 

  16. Sparsø, J., Furber, S.: Principles of asynchronous circuit design: a system perspective. Kluwer Academic Publishers, Boston (2001)

    Book  Google Scholar 

  17. Reddi, V., Gupta, M., Holloway, G., et al.: Voltage emergency prediction: a signature-based approach to reducing voltage emergencies. In: Proc. of International Symposium on High-Performance Computer Architecture, HPCA-15 (2009)

    Google Scholar 

  18. Amelifard, B., Fallah, F.D., Pedram, M.: Leakage minimization of SRAM cells in a dual-Vt and dual Tox technology. IEEE Trans. on VLSI 16(7), 851–860 (2008)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Baz, A., Shang, D., Xia, F., Yakovlev, A. (2011). Self-Timed SRAM for Energy Harvesting Systems. In: van Leuken, R., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2010. Lecture Notes in Computer Science, vol 6448. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-17752-1_11

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-17752-1_11

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-17751-4

  • Online ISBN: 978-3-642-17752-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics