Skip to main content

An Efficient and Low-Cost Design Methodology to Improve SRAM-Based FPGA Robustness in Space and Avionics Applications

  • Conference paper
Reconfigurable Computing: Architectures, Tools and Applications (ARC 2009)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5453))

Included in the following conference series:

Abstract

This paper presents an efficient approach to protect an FPGA design against Single Event Upsets (SEUs). A novel configuration scrubbing core, instantiated at the top level of the user project, is used for internal detection and correction of SEU-induced configuration errors without requiring further external radiation hardened control hardware. As demonstrated in the paper, this approach combines the benefits of fast SEU faults detection with fast restoration of the device functionality and small overhead. Moreover, the proposed technique result highly versatile and can be adopted for different FPGA device families.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Roosta, R.: A Comparison of Radiation-Hard and Radiation-Tolerant FPGAs for Space Applications. NASA Electronic Parts and Packaging Program (December 30, 2004), http://nepp.nasa.gov/

  2. Ceschia, M., Violante, M., Reorda, M.S., Paccagnella, A., Bernardi, P., Rebaudengo, M., Bortolato, D., Bellato, M., Zambolin, P., Candelori, A.: Identification and classification of single-event upsets in the configuration memory of SRAM-based FPGAs. IEEE Transactions on Nuclear Science 50(6), 2088–2094 (2003)

    Article  Google Scholar 

  3. Graham, P., Caffrey, M., Zimmerman, J., Sundararajan, P., Johnson, E., Patterson, C.: Consequences and Categories of SRAM FPGA Configuration SEUs. In: International Conference on Military and Aerospace Programmable Logic Devices MAPLD, Washington, DC, Paper C6 (2003)

    Google Scholar 

  4. Carmichael, C., Caffrey, M., Salazar, A.: Correcting Single-Event Upsets Through Virtex Partial Configuration. Xilinx Corp., Tech. Rep., XAPP216 (v1.0) (June 1, 2000)

    Google Scholar 

  5. Gokhale, M., Graham, P., Wirthlin, M., Johnson, D.E., Rollins, N.: Dynamic reconfiguration for management of radiation-induced faults in FPGAs. International journal of embedded systems 2(1-2), 28–38 (2006)

    Article  Google Scholar 

  6. Gokhale, M., Graham, P., Wirthlin, M., Johnson, D.E., Rollins, N.: Dynamic Reconfiguration for Management of Radiation-Induced Faults in FPGAs. International Journal of Embedded Systems 2(1/2), 28–38 (2006)

    Article  Google Scholar 

  7. Kastensmidt, F.L., Sterpone, L., Carro, L., Reorda, M.S.: On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs. In: Proceedings of Design, Automation and Test in Europe, pp. 1290–1295 (2005)

    Google Scholar 

  8. Samudrala, P.K., Ramos, J., Katkoori, S.: Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs. IEEE Transactions on Nuclear Science 51(5), 2957–2969 (2004)

    Article  Google Scholar 

  9. Carmichael, C.: Triple Modular Redundancy Design Techniques for Virtex FPGAs. In: Xilinx Application Note (XAPP) 197 (July 6, 2006)

    Google Scholar 

  10. Quinn, H., Morgan, K., Graham, P., Krone, J., Caffrey, M., Lundgreen, K.: Domain Crossing Errors: Limitations on Single Device Triple-Modular Redundancy Circuits in Xilinx FPGAs. IEEE Transactions on Nuclear Science 54(6), 2037–2043 (2007)

    Article  Google Scholar 

  11. Heiner, J., Collins, N., Withlin, M.: Fault Tolerant ICAP Controller for High-Reliable Internal Scrubbing. In: Proceedings of IEEE Aerospace Conference, pp. 1–10 (March 2008)

    Google Scholar 

  12. Swift, G.M., Rezgui, S., George, J., Carmichael, C., Napier, M., Maksymowicz, J., Moore, J., Lesea, A., Koga, K., Wrobel, T.F.: Dynamic testing of Xilinx Virtex-II field programmable gate array (FPGA) input/output blocks (IOB’s). IEEE Trans. Nucl. Sci. 51(6), 3469–3474 (2004)

    Article  Google Scholar 

  13. Yui, C.C., Swift, G.M., Carmichael, C., Koga, R., George, J.S.: SEU Mitigation Testing of Xilinx Virtex II FPGAs. In: Radiation Effects Data Workshop, July 21-25, pp. 92–97. IEEE, Los Alamitos (2003)

    Google Scholar 

  14. Moon, T.K.: Error Correction Coding. John Wiley & Sons, New Jersey (2005)

    Book  MATH  Google Scholar 

  15. Virtex-II Platform FPGA User Guide, http://www.xilinx.com/bvdocs/userguides/ug002.pdf

  16. Memec-Design, “Virtex II MB”, www.insight.na.memec.com/Memec/iplanet/link1

  17. ISCAS99 circuit benchmarks, http://www.pld.ttu.ee/~maksim/benchmarks/iscas99/vhdl/

  18. XILINX, Inc. Chipscope Software and ILA Cores User Manual. Xilinx User Manual, 0401884 (v2.0) (December 2000)

    Google Scholar 

  19. Maiz, J., Hareland, S., Zhang, K., Armstrong, P.: Characterization of multi-bit soft error events in advanced srams. In: Proc. IEEE International Electron Devices Meeting, pp. 519–522 (May 2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Lanuzza, M., Zicari, P., Frustaci, F., Perri, S., Corsonello, P. (2009). An Efficient and Low-Cost Design Methodology to Improve SRAM-Based FPGA Robustness in Space and Avionics Applications. In: Becker, J., Woods, R., Athanas, P., Morgan, F. (eds) Reconfigurable Computing: Architectures, Tools and Applications. ARC 2009. Lecture Notes in Computer Science, vol 5453. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-00641-8_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-00641-8_10

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-00640-1

  • Online ISBN: 978-3-642-00641-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics