Skip to main content

Program Phase Detection Based Dynamic Control Mechanisms for Pipeline Stage Unification Adoption

  • Conference paper

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4759))

Abstract

To reduce the power consumption in mobile processors, a method called Pipeline Stage Unification (PSU) is previously proposed to work as an alternative for Dynamic Voltage Scaling (DVS). Based on PSU, we proposed two mechanisms which dynamically predict a suitable unification degree according to the knowledge of the program behaviors. Our results show that the mechanisms can achieve an average Energy Delay Product (EDP) decrease of 15.1% and 19.2%, respectively, for SPECint2000 benchmarks, compared to the processor without PSU.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Shimada, H., Ando, H., Shimada, T.: Pipeline stage unification: a low-energy consumption technique for future mobile processors. In: Proceedings of the 2003 international symposium on Low power electronics and design, pp. 326–329. ACM Press, New York (2003)

    Google Scholar 

  2. Shimada, H., Ando, H., Shimada, T.: Pipelinig with variable depth for low power consumption (in Japanese). In: IPSJ Technical Report, 2001-ARC-145, Information Processing Society of Japan, pp.57–62 (2001)

    Google Scholar 

  3. Koppanalil, J., Ramrakhyani, P., Desai, S., Vaidyanathan, A., Rotenberg, E.: A case for dynamic pipeline scaling. In: Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, pp. 1–8. ACM Press, New York (2002)

    Chapter  Google Scholar 

  4. Hrishikesh, M.S., Burger, D., Jouppi, N.P., Keckler, S.W., Farkas, K.I., Shivakumar, P.: The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays. In: Proceedings of the 29th annual international symposium on Computer architecture, Washington, DC, USA, pp. 14–24. IEEE Computer Society Press, Los Alamitos (2002)

    Chapter  Google Scholar 

  5. Srinivasan, V., Brooks, D., Gschwind, M., Bose, P., Zyuban, V., Strenski, P.N., Emma, P.G.: Optimizing pipelines for power and performance. In: Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture, pp. 333–344. IEEE Computer Society Press, Los Alamitos (2002)

    Google Scholar 

  6. Shimada, H., Ando, H., Shimada, T.: Power consumption reduction through combining pipeline stage unification and DVS (in Japanese). IPSJ Transactions on Advanced Computing Systems 48(3), 75–87 (2007)

    Google Scholar 

  7. Dhodapkar, A.S., Smith, J.E.: Managing multi-configuration hardware via dynamic working set analysis. In: Proceedings of the 29th annual international symposium on Computer architecture, Washington, DC, USA, pp. 233–244. IEEE Computer Society Press, Los Alamitos (2002)

    Chapter  Google Scholar 

  8. Sherwood, T., Perelman, E., Hamerly, G., Sair, S., Calder, B.: Discovering and exploiting program phases. IEEE Micro 23(6), 84–93 (2003)

    Article  Google Scholar 

  9. Balasubramonian, R., Albonesi, D., Buyuktosunoglu, A., Dwarkadas, S.: Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. In: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pp. 245–257. ACM Press, New York (2000)

    Google Scholar 

  10. Burger, D., Austin, T.M.: The simplescalar tool set, version 2.0. SIGARCH Computer Architecture News 25(3), 13–25 (1997)

    Article  Google Scholar 

  11. Gonzalez, R., Horowitz, M.: Energy dissipation in general purpose microprocessors. IEEE Journal of Solid-State Circuits 31(9), 1277–1284 (1996)

    Article  Google Scholar 

  12. Gowan, M.K., Biro, L.L., Jackson, D.B.: Power considerations in the design of the alpha 21264 microprocessor. In: Proceedings of the 35th annual conference on Design automation, pp. 726–731. ACM Press, New York (1998)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Jesús Labarta Kazuki Joe Toshinori Sato

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Yao, J., Shimada, H., Nakashima, Y., Mori, Si., Tomita, S. (2008). Program Phase Detection Based Dynamic Control Mechanisms for Pipeline Stage Unification Adoption. In: Labarta, J., Joe, K., Sato, T. (eds) High-Performance Computing. ISHPC ALPS 2005 2006. Lecture Notes in Computer Science, vol 4759. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-77704-5_46

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-77704-5_46

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-77703-8

  • Online ISBN: 978-3-540-77704-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics