Skip to main content

Triple-Threshold Static Power Minimization in High-Level Synthesis of VLSI CMOS

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2007)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4644))

Abstract

In this paper we present a new static power minimization technique exploiting the use of triple-threshold CMOS standard cell libraries in 90nm technology. Using static timing analysis, we determine the timing requirements of cells and place cells with low and standard threshold voltages in the critical paths. Cells with a high threshold voltage are placed in non-critical paths to minimize the static power with no overall timing degradation. From the timing and power analysis, we determine the optimal placement of high, standard and low threshold voltage cells. Using three different threshold voltages, an optimized triple-threshold 16-bit multiplier design featured 90% less static power compared to the pure low-threshold design and 54% less static power compared to the dual-threshold design.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Kim, N.S., Austin, T., Baauw, D., Mudge, T., Flautner, K., Hu, J.S., Irwin, M.J., Kandemir, M., Narayanan, V.: Leakage current: Moore’s Law meets static power. IEEE Computer 36, 68–75 (2003)

    Google Scholar 

  2. Shin, K., Kim, T.: Leakage power minimization in arithmetic circuits. In: Electronics Letters, vol. 40, pp. 415–417. The Institution of Engineering and Technology (2004)

    Google Scholar 

  3. Chung, B., Kuo, J.B.: Gate-level dual-threshold static power optimization methodology (GDSPOM) for designing high-speed low-power SOC applications using 90nm MTCMOS technology. In: ISCAS, pp. 3650–3653 (2006)

    Google Scholar 

  4. Wei, L., Chen, Z., Roy, K., Johnson, M.C., Ye, Y., De, V.K.: Design and optimization of dual-threshold circuits for low-voltage low-power applications. IEEE Trans. on VLSI Systems 7, 16–24 (1999)

    Article  Google Scholar 

  5. Anis, M., Areibi, S., Elmasry, M.: Design and optimization of multithreshold CMOS (MTCMOS) circuits. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 22, 1324–1342 (2003)

    Article  Google Scholar 

  6. Srivastav, M., Rao, S.S.S.P., Bhatnagar, H.: Power reduction technique using multi-Vt libraries. In: System-on-Chip for Real-Time Applications, pp. 363–367. Springer, Heidelberg (2005)

    Google Scholar 

  7. Fujii, K., Douseki, T., Harada, M.: A sub-1 V triple-threshold CMOS/SIMOX circuit for active power reduction. In: ISSCC Digest of Technical Papers, pp. 190–191. S3 Digital Publishing Inc., Maine (1998)

    Google Scholar 

  8. Fujii, K., Douseki, T.: A 0.5-V, 3-mW, 54x54-b multiplier with a triple-Vth CMOS/SIMOX circuit scheme. In: IEEE International SOI Conference, pp. 73–74. IEEE, Los Alamitos (1999)

    Google Scholar 

  9. Chen, H.I.A., Loo, E.K.W, Kuo, J.B., Syrzycki, M.J.: Triple-Threshold Static Power Minimization Technique in High-Level Synthesis for Designing High-Speed Low-Power SOC Applications Using 90nm MTCMOS Technology. In: Canadian Conference on Electrical and Computer Engineering, IEEE, Los Alamitos (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Nadine Azémard Lars Svensson

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Chen, H.I.A., Loo, E.K.W., Kuo, J.B., Syrzycki, M.J. (2007). Triple-Threshold Static Power Minimization in High-Level Synthesis of VLSI CMOS. In: Azémard, N., Svensson, L. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2007. Lecture Notes in Computer Science, vol 4644. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-74442-9_44

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-74442-9_44

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-74441-2

  • Online ISBN: 978-3-540-74442-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics