Skip to main content

Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (PATMOS 2004)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 3254))

  • 1263 Accesses

Abstract

In this paper, we present an efficient method to simultaneously size wire widths and decoupling capacitance (decaps) areas for optimizing power/ ground (P/G) networks modeled as RLC linear networks subject to reliability constraints. We formulate the problem as a nonlinear optimization problem and propose an efficient gradient-based non-linear programming method for searching the solution. We apply a time-domain merged adjoint network to efficiently compute the gradients and a novel equivalent circuit modeling technique to speed up the optimization process. The resulting algorithm is very efficient and experimental results show that the new algorithm is capable of optimizing P/G networks modeled as RLC networks with million nodes within 10 hours in modern workstations.

This work was supported by National Natural Science Foundation of China (NSFC) 90307017, 60176016 and 60121120706 and National Natural Science Foundation of USA (NSF) CCR-0096383.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chen, H.H., Ling, D.D.: Power supply noise analysis methodology for deep-submicron VLSI chip design. In: Proc. 34th ACM/IEEE Design Automation Conf., pp. 638–643 (1997)

    Google Scholar 

  2. Bai, G., Bobba, S., Hajj, I.N.: Simulation and optimization of the power distribution network in VLSI circuits. In: Proc. IEEE/ACM International Conf. on Computer-Aided Design, pp. 481–486 (2000)

    Google Scholar 

  3. Su, H.-H., Gala, K.H., Sapatnekar, S.S.: Fast analysis and optimization of power/ground networks. In: Proc. IEEE/ACM International Conf. on Computer-Aided Design, pp. 477–482 (2000)

    Google Scholar 

  4. Chowdhury, S., Breuer, M.A.: Minimal area design of power/ground nets having graph topologies. IEEE Trans. on Circuits and Systems, 1441–1451 (1987)

    Google Scholar 

  5. Mitsuhashi, T., Kuh, E.S.: Power and Ground Network Topology Optimization for Cell Based VLSIs. In: Proc. 29th ACM/IEEE Design Automation Conference, pp. 524–529 (1992)

    Google Scholar 

  6. Tan, X.-D., Shi, C.-J.: Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programming. In: Proc. 36th ACM/IEEE Design Automation Conf., pp. 78–83 (1999)

    Google Scholar 

  7. Wu, X.-H., Hong, X.-L., Cai, Y.-C., et al.: Area minimization of power distribution network using efficient nonlinear programming techniques. In: Proc. IEEE/ACM International Conf. on Computer-Aided Design, pp. 153–157 (2001)

    Google Scholar 

  8. Zhao, S.-Y., Roy, K.K., Koh, C.-K.: Decoupling capacitance allocation for power supply noise suppression. In: Proc. IEEE/ACM International Symp. on Physical Design, pp. 66–71 (2001)

    Google Scholar 

  9. Su, H.-H., Roy, K.K., Sapatnekar, S.S., Nassif, S.R.: An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. In: Proc. IEEE/ACM International Symp. on Physical Design, pp. 68–75 (2002)

    Google Scholar 

  10. Wang, K., Marek-Sadowask, M.: On-chip power supply network optimization using multigrid-based technique. In: Proc. ACM/IEEE Design Automation Conf., June 2003, pp. 113–118 (2003)

    Google Scholar 

  11. Tan, X.-D., Shi, C.-J.: Fast power-ground network optimization using equivalent circuit modeling. In: Proc. 38th ACM/IEEE Design Automation Conf., pp. 550–554 (2001)

    Google Scholar 

  12. Odabasioglu, M.: PRIME: Passive reduction-order interconnect macromodeling algorithm. IEEE Trans. On Computer-Aided Design 17(8), 645–654 (1998)

    Article  Google Scholar 

  13. Dharchoudhury, A., Panda, R., Blaauw, D., Vaidyanathan, R., et al.: Design and analysis of power distribution networks in power PC microprocessors. In: Proc. ACM/IEEE Design Automation Conf., June 1998, pp. 738–743 (1998)

    Google Scholar 

  14. Kozhaya, J.N., Nassif, S.R., Najm, F.N.: A multigrid-like technique for power grid analysis. IEEE Trans. Computer-Aided Design 21(10), 1148–1160 (2002)

    Article  Google Scholar 

  15. Zhao, M., Panda, R.V., Sapatnekar, S.S., et al.: Hierarchical analysis of power distribution networks. In: Proc. ACM/IEEE Design Automation Conf., June 2000, pp. 150–155 (2000)

    Google Scholar 

  16. Chen, T., Chen, C.C.: Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative method. In: Proc. ACM/IEEE Design Automation Conf., June 2001, pp. 559–562 (2001)

    Google Scholar 

  17. Nassif, S.R., Kozhaya, J.N.: Fast power grid simulation. In: Proc. ACM/IEEE Design Automation Conf., June 2000, pp. 156–161 (2000)

    Google Scholar 

  18. Fu, J., Luo, Z., Hong, X., Cai, Y., Tan, S.X.-D., Pan, Z.: A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery. In: Proc. Asia South Pacific Design Automation Conf (ASPDAC), January 2004, pp. 505–510 (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Fu, J., Luo, Z., Hong, X., Cai, Y., Tan, S.X.D., Pan, Z. (2004). Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. In: Macii, E., Paliouras, V., Koufopavlou, O. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2004. Lecture Notes in Computer Science, vol 3254. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-30205-6_45

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-30205-6_45

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-23095-3

  • Online ISBN: 978-3-540-30205-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics