Skip to main content

Heterogeneous Approximate Multipliers: Architectures and Design Methodologies

  • Chapter
  • First Online:

Abstract

Multipliers are an integral block of a wide range of error-resilient applications like audio, image, and video processing, and machine learning. However, these multiplier architectures are computationally complex, and hence consume more power and occupy more area with long carry-adder trees when implementing multipliers with high bit-width. Approximate computing is an emerging design paradigm and is currently exploited to alleviate such area and power overheads, with slight/affordable degradation in the output quality of error-resilient application. An approximate multiplier architecture could either be approximated at the partial-product generation, accumulation, or summation stages. In this chapter, we focus on the different design aspects of energy-efficient approximate multipliers for both ASICs- and FPGAs-based systems.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    The Neural Network which won the ImageNet Large-Scale Visual Recognition Competition (ILSVRC) in the year 2015 to surpass human accuracy in classifying images of the image-net dataset [30].

References

  1. Ataei S, Stine JE (2018) A 64 kb approximate SRAM architecture for low-power video applications. Embed Syst Lett 10:10–13

    Article  Google Scholar 

  2. Bhardwaj K, Mane PS, Henkel J (2014) Power-and area-efficient approximate Wallace tree multiplier for error-resilient systems. In: 15th international symposium on quality electronic design (ISQED). IEEE, New York

    Google Scholar 

  3. Boroumand S, Parandeh-Afshar H, Brisk P, Mohammadi S (2018) Exploration of approximate multipliers design space using carry propagation free compressors. In: 23rd Asia and South Pacific design automation conference, ASP-DAC 2018, Jeju, January 22–25, 2018

    Google Scholar 

  4. Chen L, Han J, Liu W, Lombardi F (2015) Design of approximate unsigned integer non-restoring divider for inexact computing. In: Proceedings of the 25th edition on Great Lakes symposium on VLSI. ACM, New York

    Google Scholar 

  5. Dadda L (1965) Some schemes for parallel multipliers. Alta frequenza 34:349–356

    Google Scholar 

  6. Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. In: IEEE transactions on computer-aided design of integrated circuits and systems (TCAD)

    Google Scholar 

  7. Ha M, Lee S (2018) Multipliers with approximate 4–2 compressors and error recovery modules. Embed Syst Lett 10:6–9

    Article  Google Scholar 

  8. Hashemi S, Bahar R, Reda S (2015) Drum: a dynamic range unbiased multiplier for approximate applications. In: Proceedings of the IEEE/ACM international conference on computer-aided design. IEEE, New York

    Google Scholar 

  9. Hashemi S, Bahar R, Reda S (2016) A low-power dynamic divider for approximate applications. In: Proceedings of the 53rd annual design automation conference. ACM, New York

    Google Scholar 

  10. Hellebrand S, Henkel J, Raghunathan A, Wunderlich H (2018) Guest editors’ introduction to special issue on approximate computing. Embed Syst Lett 10:1

    Article  Google Scholar 

  11. Imani M, Peroni D, Rosing T (2018) Nvalt: nonvolatile approximate lookup table for GPU acceleration. Embed Syst Lett 10:14–17

    Article  Google Scholar 

  12. Isenberg T, Jakobs M-C, Pauck F, Wehrheim H (2018) Validity of software verification results on approximate hardware. Embed Syst Lett 10:22–25

    Article  Google Scholar 

  13. Kahng AB, Kang S (2012) Accuracy-configurable adder for approximate arithmetic designs. In 49th ACM/EDAC/IEEE design automation conference (DAC). IEEE, New York

    Google Scholar 

  14. Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture. In 24th international conference on VLSI Design (VLSI Design). IEEE, New York

    Google Scholar 

  15. Kyaw KY, Goh WL, Yeo KS (2010) Low-power high-speed multiplier for error-tolerant application. In IEEE international conference of electron devices and solid-state circuits (EDSSC). IEEE, New York

    Google Scholar 

  16. Lee S, Gerstlauer A (2018) Data-dependent loop approximations for performance-quality driven high-level synthesis. Embed Syst Lett 10:18–21

    Article  Google Scholar 

  17. Lin C-H, Lin C (2013) High accuracy approximate multiplier with error correction. In IEEE 31st international conference on computer design (ICCD). IEEE, New York

    Google Scholar 

  18. Liu C (2014) Design and analysis of approximate adders and multipliers. https://doi.org/10.7939/R3M38H

  19. Liu C, Han J, Lombardi F (2014) A low-power, high-performance approximate multiplier with configurable partial error recovery. In Design, automation and test in Europe conference and exhibition (DATE). IEEE, New York

    Google Scholar 

  20. Ma J, Man KL, Zhang N, Guan S-U, Jeong TT (2013) High-speed area-efficient and power-aware multiplier design using approximate compressors along with bottom-up tree topology. In Fifth international conference on machine vision (ICMV): algorithms, pattern recognition, and basic technologies. International Society for Optics and Photonics

    Google Scholar 

  21. Mahdiani HR, Ahmadi A, Fakhraie SM, Lucas C (2010) Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans Circuits Syst Regul Pap 57:850–862

    Article  MathSciNet  Google Scholar 

  22. Mazahir S, Hasan O, Hafiz R, Shafique M, Henkel J (2016) An area-efficient consolidated configurable error correction for approximate hardware accelerators. In Proceedings of the 53rd annual design automation conference. ACM, New York

    Google Scholar 

  23. Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64:984–994

    Article  MathSciNet  Google Scholar 

  24. Moreau T, San Miguel J, Wyse M, Bornholt J, Alaghi A, Ceze L, Jerger NDE, Sampson A (2018) A taxonomy of general purpose approximate computing techniques. Embed Syst Lett 10:2–5

    Article  Google Scholar 

  25. Polian I (2018) Test and reliability challenges for approximate circuitry. Embed Syst Lett 10:26–29

    Article  Google Scholar 

  26. Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In 2016 IEEE/ACM international conference on computer-aided design (ICCAD)

    Google Scholar 

  27. Shafique M, Ahmad W, Hafiz R, Henkel J (2015) A low latency generic accuracy configurable adder. In 52nd ACM/EDAC/IEEE design automation conference (DAC). IEEE, New York

    Google Scholar 

  28. Shin D, Gupta SK (2010) Approximate logic synthesis for error tolerant applications. In Proceedings of the conference on design, automation and test in Europe

    Google Scholar 

  29. Snigdha FS, Sengupta D, Hu J, Sapatnekar SS (2016) Optimal design of jpeg hardware under the approximate computing paradigm. In Proceedings of the 53rd annual design automation conference. ACM, New York

    Google Scholar 

  30. Sze V, Chen Y-H, Yang T-J, Emer JS (2017) Efficient processing of deep neural networks: a tutorial and survey. CoRR. abs/1703.09039

    Google Scholar 

  31. Wallace CS (1964) A suggestion for a fast multiplier. IEEE Trans Electron Comput EC-13:14–17

    Article  Google Scholar 

  32. Zendegani R, Kamal M, Fayyazi A, Afzali-Kusha A, Safari S, Pedram M (2016) SEERAD: a high speed yet energy-efficient rounding-based approximate divider. In Design, automation & test in Europe conference & exhibition (DATE). IEEE, New York

    Google Scholar 

  33. Zhu N, Goh WL, Zhang W, Yeo KS, Kong ZH (2010) Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE transactions on very large scale integration (VLSI) systems

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bharath Srinivas Prabakaran .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Rehman, S., Prabakaran, B.S., El-Harouni, W., Shafique, M., Henkel, J. (2019). Heterogeneous Approximate Multipliers: Architectures and Design Methodologies. In: Reda, S., Shafique, M. (eds) Approximate Circuits. Springer, Cham. https://doi.org/10.1007/978-3-319-99322-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-99322-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-99321-8

  • Online ISBN: 978-3-319-99322-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics