Skip to main content

Simulation-Based Signal Selection

  • Chapter
  • First Online:
Post-Silicon Validation and Debug

Abstract

The single largest obstacle in post-silicon verification is the limited observability of internal signals of a design, as this severely limits our ability to diagnose an observed functional bug. A solution to address this issue leverages trace buffers: these are register buffers embedded into the design, with the goal of recording the value of a small number of state elements. Due to the trace buffer’s area overhead, only a very small fraction of the signals can be traced. Thus, the selection of which signals to trace is of paramount importance in post-silicon debugging and diagnosis. Ideally, we would like to select signals enabling the maximum amount of reconstruction of internal signal values. Several signal selection algorithms for post-silicon debug have been proposed in the literature: the majority of algorithms rely on a state restoration capacity metric, coupled with a greedy algorithm. In this chapter, we explore the possibility of using information gathered from simulation data to create a reliable restoration capability metric. We also analyze the shortcomings of metric-guided greedy algorithm structures and, based on our findings, present an alternative solution. This novel algorithm leverages an iterative metric-guided elimination to hone in a set of signals capable of providing the best state restoration.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Abramovici, P. Bradley, K. Dwarakanath, P. Levin, G. Memmi, D. Miller, A reconfigurable design-for-debug infrastructure for SoCs, in Proceedings of the DAC (ACM, New York, 2006), pp. 7–12

    Google Scholar 

  2. Altera Verification Tool: SignalTap II Embedded Logic Analyzer (2006), http://www.altera.com/products/software/products/quartus2/verification/signaltap2/sig-index.html

  3. ARM limited: Embedded Trace Macrocells (2007), http://www.arm.com/products/solutions/ETM.html

  4. K. Basu, P. Mishra, Efficient trace signal selection for post silicon validation and debug, in Proceedings of the VLSI design (2011), pp. 352–357

    Google Scholar 

  5. K. Basu, P. Mishra, Rats: Restoration-aware trace signal selection for post-silicon validation. IEEE Trans. VLSI Syst. 21(4), 605–613 (2013)

    Article  Google Scholar 

  6. Y.C. Hsu, F. Tsai, W. Jong, Y.T. Chang, Visibility enhancement for silicon debug, in Proceedings of the DAC (2006), pp. 13–18

    Google Scholar 

  7. H.F. Ko, N. Nicolici, Automated trace signals identification and state restoration for improving observability in post-silicon validation, in Proceedings of the DATE (2008), pp. 1298–1303

    Google Scholar 

  8. H.F. Ko, N. Nicolici, Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Trans. CAD 28(2), 285–297 (2009)

    Article  Google Scholar 

  9. M. Li, A. Davoodi, A hybrid approach for fast and accurate trace signal selection for post-silicon debug. IEEE Trans. CAD 33(7), 1081–1094 (2014)

    Article  Google Scholar 

  10. X. Liu, Q. Xu, Trace signal selection for visibility enhancement in post-silicon validation, in Proceedings of the DATE (2009), pp. 1338–1343

    Google Scholar 

  11. N. Nataraj, T. Lundquist, K. Shah, Fault localization using time resolved photon emission and STIL waveforms, in Proceedings of the ITC (2003), pp. 254–263

    Google Scholar 

  12. S. Prabhakar, M. Hsiao, Using non-trivial logic implications for trace buffer-based silicon debug, in Proceedings of the ATS (2009), pp. 131–136

    Google Scholar 

  13. H. Shojaei, A. Davoodi, Trace signal selection to enhance timing and logic visibility in post-silicon validation, in Proceedings of the ICCAD (2010), pp. 168–172

    Google Scholar 

  14. Sun Microsystems OpenSPARC, http://www.opensparc.net/

  15. B. Vermeulen, T. Waayers, S. Bakker, IEEE 1149.1-compliant access architecture for multiple core debug on digital system chips, in Proceedings of the ITC (2002), pp. 55–63

    Google Scholar 

  16. Xilinx Verification Tool: ChipScope Pro (2006), http://www.xilinx.com/ise/optional_prod/cspro.html

  17. J.S. Yang, N.A. Touba, Automated selection of signals to observe for efficient silicon debug, in Proceedings of the VTS (2009), pp. 79–84

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Debapriya Chatterjee .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Chatterjee, D., Bertacco, V. (2019). Simulation-Based Signal Selection. In: Mishra, P., Farahmandi, F. (eds) Post-Silicon Validation and Debug. Springer, Cham. https://doi.org/10.1007/978-3-319-98116-1_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-98116-1_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-98115-4

  • Online ISBN: 978-3-319-98116-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics