Skip to main content

SoC Instrumentations: Pre-Silicon Preparation for Post-Silicon Readiness

  • Chapter
  • First Online:
Post-Silicon Validation and Debug
  • 1064 Accesses

Abstract

Effective post-silicon validation of modern SoC designs requires careful upfront planning. This activity, referred to as post-silicon readiness, is one of the most elaborate and time-consuming components of post-silicon validation. This chapter discusses various facets of readiness activities performed in current industrial practice. We delve specifically into one key readiness activity: instrumentation of the design with additional hardware for effective post-silicon observability.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Abramovici, P. Bradley, K. Dwarkanath, P. Levin, G. Memi, D. Miller, A reconfigurable design-for-debug infrastructure for SoCs, in Proceedings of the 43rd Design Automation Conference (DAC 2006). (ACM/IEEE, 2006), pp. 7–12

    Google Scholar 

  2. K. Basu, P. Mishra, Efficient trace signal selection for post silicon validation and debug, in 24th International Conference on VLSI Design (2011), pp. 352 –357

    Google Scholar 

  3. M. Boule, J. Chenard, Z. Zilic, Adding debug enhancements to assertion checkers for hardware emulation and silicon debug, in International Conference on Computer Design. (IEEE Computer Society, 2006), pp. 294–299

    Google Scholar 

  4. A. Carbine, D. Feltham, Pentium Pro processor design for test and debug. IEEE Des. Test Comput. 15(3), 77–82 (1998)

    Article  Google Scholar 

  5. D. Chatterjee, C. McCarter, V. Bertacco, Simulation-based signal selection for state restoration in silicon debug. ICCAD 2011, 595–601 (2011)

    Google Scholar 

  6. CoreSight On-chip Trace and Debug Architecture, www.arm.com

  7. G. Gopalakrishnan, C. Chou, The post-silicon verification problem: designing limited observability checkers for shared memory processors, in 5th International Workshop on Designing Correct Circuits (DCC 2004) ed. by M. Sheeran, T. Melham (2004)

    Google Scholar 

  8. IEEE Joint Test Action Group: IEEE Standard Test Access Port and Boundary Scan Architecture. IEEE Std. 1149(1) (2001)

    Google Scholar 

  9. Intel® Platform Analysis Library. https://software.intel.com/en-us/intel-platform-analysis-library

  10. H.F. Ko, N. Nicolici, Automated trace signals identification and state restoration for improving observability in post-silicon validation, in Proceedings of the Design, Automation and Test in Europe DATE ’08 (2008), pp. 1298–1303. https://doi.org/10.1109/DATE.2008.4484858

  11. S. Ma, D. Pal, R. Jiang, S. Ray, S. Vasudevan, Can’t see the forest for trees: state restoration’s limitations in post-silicon trace signal selcection. ICCAD 2015, 1–8 (2015)

    Google Scholar 

  12. P. Mishra, R. Morad, A. Ziv, S. Ray, Post-silicon validation in the SoC era: a tutorial introduction. IEEE Des. Test Comput. 34(3), 68–92 (2017)

    Article  Google Scholar 

  13. S. Park, S. Mitra, IFRA: instruction footprint and recording for post-silicon bug localization in processors, in Proceedings of the 45th Design Automation Conference (DAC 2008). (ACM/IEEE, 2008), pp. 373–378

    Google Scholar 

  14. P. Patra, On the cusp of a validation wall. IEEE Des. Test Comput. 24(2), 193–196 (2007)

    Article  Google Scholar 

  15. K. Rahmani, P. Mishra, S. Ray, Scalable trace signal selection using machine learning, in 31st International Conference on Computer Design (ICCD 2013) (2013), pp. 384–389

    Google Scholar 

  16. S. Ray, W.A. Hunt Jr., Connecting pre-silicon and post-silicon verification, in Proceedings of the 9th International Conference on Formal Methods in Computer-Aided Design (FMCAD 2009) ed. by A. Biere, C. Pixley. (IEEE Computer Society, Austin, TX, 2009), pp. 160–163

    Google Scholar 

  17. J. Yang, N. Touba, Automated selection of signals to observe for efficient silicon debug, in Proceedings of the VLSI Test Symposium (2009), pp. 79–84

    Google Scholar 

  18. H. Zheng, Y. Cao, S. Ray, J. Yang, Protocol-guided analysis of post-silicon traces under limited observability, in 17th International Symposium on Quality Electronic Design (ISQED 2016) (2016), pp. 301–306

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sandip Ray .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ray, S. (2019). SoC Instrumentations: Pre-Silicon Preparation for Post-Silicon Readiness. In: Mishra, P., Farahmandi, F. (eds) Post-Silicon Validation and Debug. Springer, Cham. https://doi.org/10.1007/978-3-319-98116-1_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-98116-1_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-98115-4

  • Online ISBN: 978-3-319-98116-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics