Skip to main content

Combined CLT and DWT-Based ECG Feature Extractor

  • Chapter
  • First Online:
  • 796 Accesses

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

Abstract

This chapter presents an ultra-low power ECG feature extraction engine. ECG signal represents the cardiac cycle and contains key features, such as QRS complex, P-wave, and T-wave, that provide important diagnostic information about cardiovascular diseases. The ECG feature extraction is based on combined techniques of CLT and DWT. A pipelined architecture for implementing CLT is proposed. The system was fabricated using GF-65 nm technology and consumed 642 nW only when operating at a frequency of 7.5 kHz from a supply voltage of 0.6 V. Ultra-low power consumption of the SoC made it suitable for self-powered wearable devices.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Y. Zou, J. Han, S. Xuan, S. Huang, X. Weng, D. Fang, X. Zeng, An energy-efficient design for ECG recording and R-peak detection based on wavelet transform. IEEE Trans. Circuits Syst. Express Briefs 62(2), 119–123 (2015)

    Article  Google Scholar 

  2. S. Izumi, K. Yamashita, M. Nakano, S. Yoshimoto, T. Nakagawa, Y. Nakai, H. Kawaguchi, H. Kimura, K. Marumoto, T. Fuchikami, Y. Fujimori, H. Nakajima, T. Shiga, M. Yoshimoto, Normally off ECG SoC with non-volatile MCU and noise tolerant heartbeat detector. IEEE Trans. Biomed. Circuits Syst. 9(5), 641–651 (2015)

    Article  Google Scholar 

  3. S.Y. Lee, J.H. Hong, C.H. Hsieh, M.C. Liang, S.Y.C. Chien, K.H. Lin, Low-power wireless ECG acquisition and classification system for body sensor networks. IEEE J. Biomed. Health Inf. 19(1), 236–246 (2015)

    Article  Google Scholar 

  4. Y.-J. Min, H.-K. Kim, Y.-R. Kang, G.-S. Kim, J. Park, S.-W. Kim, Design of wavelet-based ECG detector for implantable cardiac pacemakers. IEEE Trans. Biomed. Circuits Syst. 7(4), 426–436 (2013)

    Article  Google Scholar 

  5. N. Bayasi, T. Tekeste, H. Saleh, B. Mohammad, A. Khandoker, M. Ismail, Low-power ECG-based processor for predicting ventricular arrhythmia. IEEE Trans. Very Large Scale Integr. VLSI Syst. 24(5), 1962–1974 (2016)

    Article  Google Scholar 

  6. J. Pan, W.J. Tompkins, A real-time QRS detection algorithm. IEEE Trans. Biomed. Eng. 32(3), 230–236 (1985)

    Article  Google Scholar 

  7. E.B. Mazomenos, D. Biswas, A. Acharyya, T. Chen, K. Maharatna, J. Rosengarten, J. Morgan, N. Curzen, A low-complexity ECG feature extraction algorithm for mobile healthcare applications. IEEE J. Biomed. Health Inf. 17(2), 459–469 (2013)

    Article  Google Scholar 

  8. R. Almeida, J.P. Martinez, S. Olmos, A.P. Rocha, P. Laguna, Automatic delineation of T and P waves using a wavelet-based multiscale approach, in Proceedings of the 1st International Congress on Computational Bioengineering (2003), pp. 243–247

    Google Scholar 

  9. W. Zong, G.B. Moody, D. Jiang, A robust open-source algorithm to detect onset and duration of QRS complexes, in Computers in Cardiology, 2003 (IEEE, New York, 2003), pp. 737–740

    Google Scholar 

  10. M. Keating, D. Flynn, R. Aitken, A. Gibbons, K. Shi, Low Power Methodology Manual: For System-on-Chip Design (Springer, Berlin, 2007)

    Google Scholar 

  11. TI, Ultra-low power comparison: MSP430 vs. microchip XLP tech brief (2009)

    Google Scholar 

  12. M. Alhawari, B. Mohammad, H. Saleh, M. Elnaggar, An efficient zero current switching control for L-based DC-DC converters in TEG applications. IEEE Trans. Circuits Syst. Express Briefs 64, 294–298 (2016)

    Article  Google Scholar 

  13. G.M. Friesen, T.C. Jannett, M.A. Jadallah, S.L. Yates, S.R. Quint, H.T. Nagle, A comparison of the noise sensitivity of nine QRS detection algorithms. IEEE Trans. Biomed. Eng. 37(1), 85–98 (1990)

    Article  Google Scholar 

  14. T. Tekeste, N. Bayasi, H. Saleh, A. Khandoker, B. Mohammad, M. Al-Qutayri, M. Ismail, Adaptive ECG interval extraction, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, New York, 2015), pp. 998–1001

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tekeste Habte, T., Saleh, H., Mohammad, B., Ismail, M. (2019). Combined CLT and DWT-Based ECG Feature Extractor. In: Ultra Low Power ECG Processing System for IoT Devices. Analog Circuits and Signal Processing. Springer, Cham. https://doi.org/10.1007/978-3-319-97016-5_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-97016-5_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-97015-8

  • Online ISBN: 978-3-319-97016-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics