Skip to main content

Source of Metals in Si and Ge Crystal Growth and Processing

  • Chapter
  • First Online:
Metal Impurities in Silicon- and Germanium-Based Technologies

Part of the book series: Springer Series in Materials Science ((SSMATERIALS,volume 270))

  • 951 Accesses

Abstract

First, metal contamination during Si and Ge wafer growth and wafering will be discussed. Wet wafer cleaning processes, although intended to remove contaminants from the wafer surface, can actually deposit metals, depending on a number of parameters. Optimal cleaning recipes for both Si and Ge wafers are discussed. Alternatively, one can consider dry vapor phase cleaning. Photoresist deposition and stripping is another source of metal contamination. Issues with wafer handling will be discussed although they are nowadays largely under control. On the other hand, ion implantation remains a process step, requiring regular metal contamination monitoring. While the lowering of the thermal budget has reduced the occurrence of contamination, fast diffusing metals can still penetrate the semiconductor material. Finally, the use of metal layers and its impact on metal contamination is highlighted in the last section of this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. K. Harada, H. Tanaka, J. Matsubara, Y. Shimanuki, H. Furuya, Origins of metal impurities in single crystal Czochralski silicon. J. Cryst. Growth 154, 47–53 (1995). https://doi.org/10.1016/0022-0248(95)80028-X

    Article  CAS  Google Scholar 

  2. A.A. Istratov, T. Buonassisi, R.J. McDonald, A.R. Smith, R. Schindler, J.A. Rand, J.P. Kalejs, E.R. Weber, Metal content of multicrystalline silicon for solar cells and its impact on minority carrier diffusion length. J. Appl. Phys. 94, 6552–6559 (2003). https://doi.org/10.1063/1.1618912

    Article  CAS  Google Scholar 

  3. P.F. Schmidt, C.S. Pearce, A neutron activation analysis study of the sources of transition group metal contamination in the silicon device manufacturing process. J. Electrochem. Soc. 128, 630–637 (1981). https://doi.org/10.1149/1.2127472

    Article  CAS  Google Scholar 

  4. A.A. Istratov, T. Buonassisi, M.D. Pickett, M. Heuer, E.R. Weber, Control of metal impurities in “dirty” multicrystalline silicon for solar cells. Mater. Sci. Eng. B 134, 282–286 (2006). https://doi.org/10.1016/j.mseb.2006.06.023

    Article  CAS  Google Scholar 

  5. E. Scheil, Bemerkungen zur Schichtkristallbildung. Z. Metallkd. 34, 70–72 (1942)

    Google Scholar 

  6. D. Macdonald, A. Cuevas, A. Kinomura, Y. Nakano, L.J. Geerligs, Transition-metal profiles in a multicrystalline silicon ingot. J. Appl. Phys. 97, 033523/1-7 (2005). https://doi.org/10.1063/1.1845584

  7. R. Kvande, L.J. Geerligs, G. Coletti, L. Arnberg, M. Di Sabatino, E.J. Ovrelid, C.C. Swanson, Distribution of iron in multicrystalline silicon ingots. J. Appl. Phys. 104, 064905/1-9 (2008). https://doi.org/10.1063/1.2956697

  8. G. Allardyce, R. Barr, R. Chan, M. Moynihan, C. O’Connor, T. Ridler, Interaction between post wire saw cleaning and the subsequent cell fabrication saw damage etch and texturing process, in 35th IEEE Photovoltaic Specialists Conference (2010), pp. 003494–003497. https://doi.org/10.1109/pvsc.2010.5614741

  9. J. Rip, K. Wostyn, P. Mertens, S. De Gendt, M. Claes, Methodology for measuring trace metal surface contamination on PV silicon substrates. Energy Procedia 27, 154–159 (2012). https://doi.org/10.1016/j.egypro.2012.07.044

  10. W. Kern, D.A. Puotinen, Cleaning solution based on hydrogen peroxide for use in silicon semiconductor technology. RCA Rev. 31, 187–205 (1970)

    CAS  Google Scholar 

  11. M. Itano, F.W. Kern, M. Miyashita, T. Ohmi, Particle removal from silicon wafer surface cleaning process. IEEE Trans. Semicond. Manufact. 6, 258–267 (1993). https://doi.org/10.1109/66.238174

    Article  Google Scholar 

  12. H. Morinaga, M. Suyama, T. Ohmi, Mechanism of metallic particle growth and metal-induced pitting on Si wafer surface in wet chemical processing. J. Electrochem. Soc. 141, 2834–2841 (1994). https://doi.org/10.1149/1.2059240

    Article  CAS  Google Scholar 

  13. G. Quimet, D.L. Rath, S.L. Cohen, E.E. Fisch, G.W. Gale, Defect reduction and cost savings through re-inventing RCA cleans, in Proceedings of IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC) (1996), pp. 308–313. https://doi.org/10.1109/asmc.1996.558026

  14. H.F. Schmidt, M. Meuris, P.W. Mertens, A.L.P. Rotondaro, M.M. Heyns, T.Q. Hurd, Z. Hatcher, H2O2 decomposition and its impact on silicon surface roughening and gate oxide integrity. Jpn. J. Appl. Phys. 34, 727–731 (1995). https://doi.org/10.1143/JJAP.34.727

    Article  CAS  Google Scholar 

  15. K. Yamamoto, A. Nakamura, U. Hase, Control of cleaning performance of an ammonia and hydrogen peroxide mixture (APM) on the basis of a kinetic reaction model. IEEE Trans. Semicond. Manufact. 12, 288–294 (1999). https://doi.org/10.1109/66.778192

    Article  Google Scholar 

  16. C. Lee, N. Jo, C. Hwang, H.J. Kim, W. Lee, SC1 cleaning effect on electrical characteristics of 256 Mbit mobile DRAM with dual gate oxide. J. Electrochem. Soc. 151, G683–G687 (2004). https://doi.org/10.1149/1.1786931

    Article  CAS  Google Scholar 

  17. A. Siddiqui, M. Keswani, B. Broks, A. Fuerst, S. Raghavan, A study of hydrogen peroxide decomposition in ammonia-peroxide mixtures (APM). Microelectr. Eng. 102, 68–71 (2013). https://doi.org/10.1016/j.mee.2012.04.003

    Article  CAS  Google Scholar 

  18. O. Anttilla, M.V. Tilli, M. Schaekers, C. Claeys, Effect of chemicals on metal contamination on silicon wafers. J. Electrochem. Soc. 139, 1180–1185 (1992). https://doi.org/10.1149/1.2069362

    Article  Google Scholar 

  19. H.F. Schmidt, M. Meuris, P.W. Mertens, S. Verhaverbeke, M. Heyns, L. Hellemans, J. Snauwaert, K. Dillenbeck, Silicon surface roughening by the decomposition of hydrogen peroxide. Proc. Electrochem. Soc. 94(7), 102–110 (1994)

    Google Scholar 

  20. M. Baeyens, W. Hub, B.O. Kolbesen, A.R. Martin, P.W. Mertens, Single step alkaline cleaning solution for advanced semiconductor cleaning. Solid-State Phemon. 56–66, 23–26 (1999). https://doi.org/10.4028/www.scientific.net/SSP.65-66.23

  21. H. Saloniemi, T. Visti, S. Eränen, A. Kiviranta, O. Anttilla, Improvement of SC-1 bath stability by complexing agents. Physica Scripta  101, 226–229 (2002). https://doi.org/10.1238/Physica.Topical.101a00226

    Article  CAS  Google Scholar 

  22. T.M. Pan, T.L. Lei, T.S. Chao, M.C. Liaw, F.S. Ko, C.P. Lu, One-step cleaning solution to replace the conventional RCA two-step recipe for pre-gate oxide cleaning. J. Electrochem. Soc. 148, G315–G320 (2001). https://doi.org/10.1149/1.1369374

    Article  CAS  Google Scholar 

  23. D. Sinha, Behavior of nickel deposition on silicon wafers from TMAH and ammonia based SC-1 cleaning process. ECS Trans. 69(8), 77–83 (2015). https://doi.org/10.1149/06908.0077ecst

    Article  CAS  Google Scholar 

  24. T.M. Pan, T.L. Lei, C.C. Chen, T.S. Chao, M.C. Liaw, W.L. Yang, M.S. Tsai, C.P. Lu, W.H. Chang, Novel cleaning solution for polysilicon film post chemical mechanical polishing. IEEE Electron Dev. Lett. 21, 338–340 (2000). https://doi.org/10.1109/55.847373

    Article  CAS  Google Scholar 

  25. M. Pourbaix, Atlas of Ectrochemical Equilibria in Aqueous Solutions (Pergamon Press, London, 1974)

    Google Scholar 

  26. T. Umeda, A. Tsuzuki, R. Kokuun, K. Yoneda, Further reduction of trace level ion from ultra-pure water and its effect on electrical property of device. Solid-State Phenom. 103–104, 233–236 (2005). https://doi.org/10.4028/www.scientific.net/SSP.103-104.233

  27. Y. Hashimoto, M. Amari, M. Komatsu, K. Fujiwara, Purification of trace amount of metal impurity from ultra pure wafer using membrane purifier/filter. Solid-State Phenom 103–104, 265–268 (2005). https://doi.org/10.4028/www.scientific.net/SSP.103-104.265

  28. F. Tardif, T. Lardin, B. Sandrier, P. Boelen, R. Matthews, L. Kaskoush, R. Novak, Performance of the ‘DDC’: diluted dynamic clean before 4.5 nm gate oxide. Proc. Electrochem. Soc. 97(35), 15–22 (1997)

    Google Scholar 

  29. F. Pipia, E. Bellandi, B. Crivelli, M. Alessandri, Fe and Cu removal efficiency in HF-DIW/O3 cleaning sequence. Solid-State Phenom. 65–66, 109–112 (1999). https://doi.org/10.4028/www.scientific.net/SSP.65-66.109

  30. P. Garnier, H. Fontaine, Metal removal efficiency in high aspect ratio structures. Solid-State Phenom. 255, 313–318 (2016)

    Article  Google Scholar 

  31. M. Meuris, P.W. Mertens, A. Opdebeeck, H.F. Schmidt, M. Depas, G. Vereecke, M. Heyns, A. Philipossian, IMEC clean: a new concept for particle and metal removal on Si surface. Solid State Techn. 38, 109–114 (1995)

    CAS  Google Scholar 

  32. J.D. Joo, J.S. Kim, H. Morita, T. Ohmi, Comparison of cleaning efficiencies of noble metals on Si surface between O3-UPW and SPM. Proc. Electrochem. Soc. 97, 280–22 (1997)

    Google Scholar 

  33. T. Ohmi, Total room temperature wet cleaning for Si substrate surface. J. Electrochem. Soc. 143, 2957–2964 (1996). https://doi.org/10.1149/1.1837133

    Article  CAS  Google Scholar 

  34. J.R. Moody, S.E. Beary, D.S. Bushee, P.J. Paulsen, High purity fluoropolymer materials: trace element content and leaching. J. Cryst. Growth 89, 43–48 (1988). https://doi.org/10.1016/0022-0248(88)90070-X

    Article  CAS  Google Scholar 

  35. H. Ryssel, L. Frey, N. Streckfuss, R. Schork, F. Kroninger, T. Falter, Contamination control and ultrasensitive chemical analysis. Appl. Surf. Sci. 63, 79–87 (1993). https://doi.org/10.1016/0169-4332(93)90068-M

    Article  CAS  Google Scholar 

  36. D. Sinha, Nickel contamination from caustic etching of silicon wafers. ECS Trans. 80(2), 223–232 (2017). https://doi.org/10.1149/08002.0223ecst

    Article  Google Scholar 

  37. P.R. Camp, A study of etching rate of single-crystal germanium. J. Electrochem. Soc. 102, 586–593 (1955). https://doi.org/10.1149/1.2429917

    Article  CAS  Google Scholar 

  38. B.W. Batterman, Hillocks, pits and etch rate in germanium. J. Appl. Phys. 28, 1236–1241 (1957). https://doi.org/10.1063/1.1722624

    Article  CAS  Google Scholar 

  39. M.F. Ehman, J.W. Faust, W.B. White, The influence of the complexing agent concentration on the etch rate of germanium. J. Electrochem. Soc. 118, 1143–1447 (1971). https://doi.org/10.1149/1.2408350

    Article  Google Scholar 

  40. A. Kagawa, T. Mikawa, T. Kaneda, Chemical etching of germanium with H2PO4–H2O2–H2O solution. Jpn. J. Appl. Phys. 21, 1616–1618 (1982). https://doi.org/10.1143/JJAP.21.1616

    Article  CAS  Google Scholar 

  41. D.P. Brunco, B. De Jaeger, G. Eneman, J. Mitard, G. Hellings, A. Satta, V. Terzieva, L. Souriau, F.E. Leys, G. Pourtois, M. Houssa, G. Winderickx, E. Vrancken, S. Sioncke, K. Opsomer, G. Nicholas, M. Caymax, A. Stesmans, J. Van Steenbergen, P.W. Mertens, M. Meuris, M.M. Heyns, Germanium MOSFET devices: advances in materials understanding, process development and electrical performance. J. Electrochem. Soc. 155, H552–H561 (2008). https://doi.org/10.1149/1.2919115

    Article  CAS  Google Scholar 

  42. B. Onsia, T. Conard, S. De Gendt, M. Heyns, I. Hoflijk, P. Mertens, M. Meuris, G. Raskin, S. Sioncke, I. Teerlinck, A. Theuwis, J. Van Steenbergen, C. Vinckier, A study of the influence of typical wet chemical treatments on the germanium wafer surface. Solid-State Penom. 103–104, 27–30 (2005). http://doi.org/10.4028/www.scientific.net/SSP.103-104.27

  43. S. Sioncke, B. Onsia, K. Struys, J. Rip, R. Vos, M. Meuris, P. Mertens, A. Theuwis, Metal deposition behavior on Ge surfaces. ECS Trans. 1, 220–227 (2005)

    CAS  Google Scholar 

  44. D. Hellin, J. Rip, R. Bonzom, D. Nelis, S. Sioncke, G. Brammertz, M. Caymax, M. Meuris. S. De Gendt, C. Vinckier, How trace-analytical techniques contribute to the research and development of Ge and III/V semiconductor devices. ECS Trans. 3(7), 173–181 (2006). https://doi.org/10.1149/1.2355806

  45. Y. Limb, B.Y. Nguyen, P. Tobin, Removal of Fe and Al by pyrochemical cleaning. Proc. Electrochem. Soc. 94(7), 409–415 (1997)

    Google Scholar 

  46. C. Elsmore, R. Gluck, P. Carr, M. Meuris, P.W. Mertens, M.M. Heyns, UV activated cleaning using NO, HCl and NO/HCl, in Proceedings of the 2nd International Symposium on Ultra-Clean Processing of Silicon Surfaces (Leuven, Belgium, 1994), pp. 125–129

    Google Scholar 

  47. J.A. Crighton, J. Carroll, B. Fairman, J. Haines, M. Hinds, Atomic spectrometry update—industrial analysis: metals, chemical and advanced materials. J. Anal. At. Spectr. 11, 461–508 (1996). https://doi.org/10.1039/JA996110461R

    Article  Google Scholar 

  48. M.Y. Wang, F.H. Ko, T.K. Wang, C.C. Yang, T.Y. Huang, Characterization and modeling of out-diffusion of manganese and zinc impurities from deep ultraviolet photoresist. J. Electrochem. Soc. 146, 3448–3454 (1999). https://doi.org/10.1149/1.1392495

    Article  Google Scholar 

  49. T.S. Yang, N.T. Hsu, K.S. Chen, F.H. Ko, Analysis of experimental data for metal impurity out-diffusion from deep-UV photoresist. J. Electrochem. Soc. 151, G149–G154 (2004). https://doi.org/10.1149/1.1640631

    Article  CAS  Google Scholar 

  50. S. Fujimura, H. Yano, Heavy metal contamination from resists during plasma stripping. J. Electrochem. Soc. 135, 1995–2001 (1988). https://doi.org/10.1149/1.2095922

    Article  Google Scholar 

  51. C. Curran, J.M. Lee, K.G. Watkins, Ultraviolet laser removal of small metallic particles from silicon wafers. Optics Laser Eng. 38, 405–415 (2002). https://doi.org/10.1016/S0143-8166(02)00022-2

    Article  Google Scholar 

  52. F. Kroninger, N. Streckfuss, L. Frey, T. Falter, C. Ryzlewicz, L. Plitzner, H. Ryssel, Application of advanced contamination analysis for qualification of wafer handling systems and chucks. Appl. Surf. Sci. 63, 93–98 (1993). https://doi.org/10.1016/0169-4332(93)90070-R

    Article  CAS  Google Scholar 

  53. A. Shimazaki, H. Sakurai, M. Iwase, R. Yoshimura, T. Tada, Metallic contamination control in leading-edge ULSI manufacturing. Solid-State Phenom. 145–146, 115–116 (2009). https://doi.org/10.4028/www.scientific.net/SSP.145-146.115

  54. K. Tomita, T. Nigita, S. Shimonishi, T. Shibata, T. Ohmi, T. Nitta, Eliminating metal sputtering contamination in ion implanter for low temperature annealed, low-reverse-bias-current junctions. J. Electrochem. Soc. 142, 1692–1698 (1995). https://doi.org/10.1149/1.2048641

    Article  CAS  Google Scholar 

  55. M.L. Polignano, C. Bresolin, F. Cazzaniga, A. Sabbadini, G. Queirolo, Investigation of metal contamination by photocurrent measurement: validation and application to ion implantation processes. Proc. SPIE 2638, 14–26 (1995). https://doi.org/10.1117/12.221187

    Article  CAS  Google Scholar 

  56. M.L. Polignano, D. Caputo, A. Giussani, V. Soncini, G. Di Toma, Metal contamination reduction in the evolution of ion implantation technology, in Proceedings of the 10th International Conference on Ion Implantation Technology (IEEE, 2000), pp. 686–689. https://doi.org/10.1109/.2000.924246

  57. S.S. Todorov, A.F. Bertuch, M.L. Polignano, D. Caputo, Correlation of screen oxide thickness and iron levels introduced during high-current implants, in Proceedings of the International Conference on Implantation Technology (1999), pp. 646–649. https://doi.org/10.1109/iit.1999.812199

  58. K. Saga, R. Ohno, D. Shibata, S. Kobayashi, K. Sueoka, Behavior of transition metals penetrating silicon substrate through SiO2 and Si3N4 films by arsenic ion implantation and annealing. ECS J. Solid State Sci. Techn. 4(5), P131–P136 (2015). https://doi.org/10.1149/2.0061505jss

    Article  CAS  Google Scholar 

  59. M.L. Polignano, D. Codegoni, A. Galbiati, S. Grasso, I. Mica, G. Moccia, G. Nardone, F. Russo, Characterization of metal-contamination effects in silicon. ECS J. Solid State Sci. Techn. 5, P3048–P3058 (2016). https://doi.org/10.1149/2.0081604jss

    Article  CAS  Google Scholar 

  60. A. Cubina, M. Frost, Effect of molybdenum contamination resulting from BF2 implantation. Nucl. Instr. Phys. Meth. Res. B 55, 160–165 (1991). https://doi.org/10.1016/0168-583X(91)96154-D

    Article  CAS  Google Scholar 

  61. E. Colelli, A. Galbiati, D. Caputo, M.L. Polignano, V. Soncini, G. Salva, Metal contamination monitoring in ion implantation technology, in Proceedings of the International Symposium on Plasma and Process-Induced Damage (2003), pp. 81–84. https://doi.org/10.1109/ppid.2003.1200923

  62. J.P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI. 2nd edn. (Kluwer Acad Press, 1997), chapter 2

    Google Scholar 

  63. A.C. Ipri, L. Jastrzebski, D. Peters, The effect of heavy metal contamination in SIMOX on radiation hardness of MOS transistors. IEEE Electron Dev. Lett. 10, 571–573 (1989). https://doi.org/10.1109/55.43143

    Article  CAS  Google Scholar 

  64. L. Frey, N. Kroninger, N. Streckfuss, H. Ryssel, Characterization of metal impurities in silicon-on-insulator materials. Mat. Sci. Eng. B 12, 195–198 (1992). https://doi.org/10.1016/0921-5107(92)90285-H

    Article  CAS  Google Scholar 

  65. M. Tachimori, S. Masui, T. Nakajima, K. Kawamura, I. Hamaguchi, T. Yano, Y. Nagatake, Quality improvement of SIMOX wafers by low-dose and high temperature oxidation techniques. Proc. Electrochem. Soc. 96(3), 52–62 (1996)

    Google Scholar 

  66. P.F. Schmidt, Furnace contamination and its remedies. Solid-State Techn. 6, 147–152 (1983)

    Google Scholar 

  67. P.H. Robinson, F.P. Heiman, Use of HCl gettering in silicon device processing. J. Electrochem. Soc. 118, 141–143 (1971). https://doi.org/10.1149/1.2407929

    Article  Google Scholar 

  68. M. Itsumi, Contamination determination for silicon carbide cantilever forks in diffusion furnaces. J. Electrochem. Soc. 141, 1304–1308 (1994). https://doi.org/10.1149/1.2054914

    Article  CAS  Google Scholar 

  69. T. Buonassisi, A.A. Istratov, S. Peters, C. Ballif, J. Isenberg, S. Riepe, W. Warta, R. Schindler, G. Willeke, Z. Cai, B. Lai, E.R. Weber, Impact of metal silicide precipitate dissolution during rapid thermal processing of multicrystalline silicon solar cells. Appl. Phys. Lett. 87, 121918-1/3 (2005). https://doi.org/10.1063/1.2048819

  70. T. Buonassisi, A. Istratov, M.A. Marcus, B. Lai, Z. Cia, S.M. Heald, E.R. Weber, Engineering metal-impurity nanodefects for low-cost solar cells. Nature Mat. 4, 676–679 (2005). https://doi.org/10.1038/nmat1457

    Article  CAS  Google Scholar 

  71. D.R. Sparks, N.S. Alvi, K. Sanders, Long-range diffusion of transition metals in silicon during rapid thermal annealing. MRS Proc. 146, 385–390 (1989). https://doi.org/10.1557/PROC-146-385

    Article  CAS  Google Scholar 

  72. D. Mathiot, D. Barbier, Solubility enhancement of metallic impurities in silicon by rapid thermal annealing. J. Appl. Phys. 69, 3878–3881 (1991). https://doi.org/10.1063/1.348444

    Article  CAS  Google Scholar 

  73. C.K. Tang, E. Lund, E.V. Monakhov, J. Mayandi, A. Holt, B.G. Svensson, Electrically active centers introduced in p-type Si by rapid thermal processing. Phys. Status Solidi C 3, 725–725 (2012). https://doi.org/10.1002/pssc201000263

  74. D. Barbier, M. Remram, J.F. Joly, A. Laugier, Defect-state generation in Czochralski-grown (100) silicon rapidly annealed with incoherent light. J. Appl. Phys. 61, 156–160 (1987). https://doi.org/10.1063/1.338848

    Article  CAS  Google Scholar 

  75. D. Mathiot, Quenched-in defect removal through silicide formation by rapid thermal processing. Appl. Phys. Lett. 58, 131–133 (1991). https://doi.org/10.1063/1.104950

    Article  CAS  Google Scholar 

  76. M. Ada-Hanifi, A. Chantre, D. Levy, J.P. Gonschond, Ph. Delpech, A. Nouailhat, Leakage mechanisms of titanium n+ p junctions fabricated using thermal processing. Appl. Phys. Lett. 58, 1280–1282 (1991). https://doi.org/10.1063/1.104336

    Article  CAS  Google Scholar 

  77. N.E. Chabane-Sati, L. Thibaud, S. Kaddour, M. Berenguer, D. Barbier, Hole trap level generation in silicon during rapid thermal annealing: influence of substrate type and process conditions. J. Appl. Phys. 71, 3320–3324 (1992). https://doi.org/10.1063/1.350952

    Article  Google Scholar 

  78. L. Jastrzebski, Heavy metal contamination during integrated-circuit processing: measurement of contamination level and internal gettering efficiency by surface photovoltage. Mat. Sci. Eng. B 4, 113–121 (1989). https://doi.org/10.1016/0921-5107(89)90226-2

    Article  Google Scholar 

  79. R.B. Bergmann, L. Oberbeck, T.A. Wagner, High-quality and low-temperature epitaxial Si films deposited at very high deposition rate. J. Crys. Growth 225, 335–339 (2001). https://doi.org/10.1016/S0022-0248(01)00906-X

    Article  CAS  Google Scholar 

  80. N. Krause, H. Soltau, D. Hauff, J. Kemmer, D. Stötter, L. Strüder, J. Weber, Metal contamination analysis of the epitaxial starting material for scientific CCDs. Nucl. Instr. Meth. A 439, 228–238 (2000). https://doi.org/10.1016/S0168-9002(99)009171

    Article  CAS  Google Scholar 

  81. S.E. Holland, C.J. Bebek, P.J. Daniels, K.S. Dawson, J.H. Emes, D.E. Groom, S.R. Jelinski, A. Karcher, W.F. Kolbe, N.P. Palaio, C.H. Tran, N.A. Roe, G. Wang, Technology development for 4 k × 4 k, back-illuminated, fully depleted scientific CCD imagers, in Proceedings of 2007 IEEE Nuclear Science Symposium Conference (2007), pp. 2220–2225 https://ieeexplore.ieee.org/iel5/4436263/4436479/04436592

  82. A.P.D. Nguyen, A. Stesmans, D. Hiller, M. Zacharias, Near-interface substrate 3d metal contamination during atomic layer deposition processing detected by electron spin resonance. J. Appl. Phys. 111, 114308-1/6 (2012). https://doi.org/10.1063/1.4709445

  83. S.P. Murarka, Transition metal silicides. Ann. Rev. Mater. Sci. 13, 117–183 (1983). https://doi.org/10.1146/annurev.ms.13.080183.001001

    Article  CAS  Google Scholar 

  84. K. Maex, Silicides for integrated circuits: TiSi2, CoSi2. Mater. Sci. Eng. Reports 11, 53–153 (1993). https://doi.org/10.1016/0927-796X(93)90001-J

    Article  Google Scholar 

  85. J.P. Gambino, E.G. Colgan, Silicides and Ohmic contacts. Mater. Chem. Phys. 52, 99–146 (1998). https://doi.org/10.1016/S0254-0584(98)80014-X

    Article  CAS  Google Scholar 

  86. N. Breil, C. Lavoi, A. Ozcan, F. Baumann, N. Klymko, K. Nummy, B. Sun, J. Jordan-Sweet, J. Yu, F. Zhu, S. Narasimha, M. Chudzik, Challenges of nickel silicidation in CMOS technology. Microelectron. Eng. 137, 79–87 (2015). https://doi.org/10.1016/j.mee.2014.12.013

    Article  CAS  Google Scholar 

  87. S.P. Murarka, Silicide thin films and their applications in microelectronics. Intermetallics 3, 173–186 (1995). https://doi.org/10.1016/0966-9795(95)98929-3

    Article  CAS  Google Scholar 

  88. P.R. Besser, C. Lavoi, C. Murray, C. D’Emic, K. Ohuchi, Silicide challenges for 22 nm technology and beyond. ECS Trans. 13(1), 277–388 (2008). https://doi.org/10.1149/1.2911520

    Article  Google Scholar 

  89. T.L. Lin, J. Park, S.D. Gunapala, E.W. Jones, H.M. Del Castillo, M.M. Weeks, P.W. Pellegrini, 7-μm-Cutoff PtSi infrared detector for high-sensitivity MWIR applications. IEEE Electron Dev. Lett. 16, 94–96 (1995). https://doi.org/10.1109/55.363236

    Article  CAS  Google Scholar 

  90. S. Gaudet, C. Detavernier, A.J. Kellock, P. Desjardins, C. Lavoi, Thin film reactions of transition metals with germanium. J. Vac. Sci. Techn. A 24, 474–485 (2006). https://doi.org/10.1116/1.2191861

    Article  CAS  Google Scholar 

  91. M. Shayesteh, C.L.M. Daunt, D. O’Connell, V. Djara, M. White, B. Long, R. Duffy, NiGe contacts and junction architectures for P and As doped germanium devices. IEEE Trans. Electron Dev. 38, 3801–3807 (2011). https://doi.org/10.1109/ted.2011.2164801

  92. C. Claeys, A. Firrincieli, K. Martens, J.A. Kitl, E. Simoen, Contact technology schemes for advanced Ge and III-V technology, in Proceedings of 8th International Caribbean Conference Devices, Circuits and Systems (2012), pp. 1–6. https://doi.org/10.1109/iccdcs.2012.6188889

  93. N. Duan, J. Luo, G. Wang, J. Liu, E. Simoen, S. Mao, H. Radamson, X. Wang, J. Li, W. Wang, C. Zhao, T. Ye, Reduction of NiGe/n and p-Ge specific contact resistivity by enhanced dopant segregation in the presence of carbon during nickel germanidation. IEEE Trans. Electron Dev. 63, 4546–4549 (2016). https://doi.org/10.1109/TED.2016.2610461

    Article  CAS  Google Scholar 

  94. A. Sakata, M. Tomita, M. Koike, M. Koyama, I. Kunishima, Anomalous junction leakage behavior of Ti self-aligned silicide contacts on ultra-shallow junctions. Jpn. J. Appl. Phys. 36, 1558–1562 (1997). https://doi.org/10.1143/JJAP.36.1558

    Article  CAS  Google Scholar 

  95. H.D. Lee, J.M. Hwang, Accurate extraction of reverse leakage current components of shallow silicided p+n junctions for quarter and sub-quarter-micron MOSFETs. IEEE Trans. Electron Dev. 45, 1848–1850 (1998). https://doi.org/10.1109/16.704389

    Article  CAS  Google Scholar 

  96. H.D. Lee, S.G. Lee, S.H. Lee, Y.J. Lee, J.M. Hwang, Characterization of corner-induced leakage current of a shallow silicided n+p juntion for quarter-micron MOSFETs. Jpn. J. Appl. Phys. 37, 1179–1183 (1998). https://doi.org/10.1143/JJAP.37.1179

    Article  CAS  Google Scholar 

  97. H.D. Lee, M.S. Bae, H.H. Ji, K.M. Lee, S.H. Park, M.J. Jang, J.H. Lee, K.S. Yoon, J.H. Choi, G.S. Park, K.K. Kang, Y.J. Park, Characterization of the co-silicide penetration depth in the junction area for 0.15 and sub-0.15 micron CMOS technology. Jpn. J. Appl. Phys. 41, 2445–2449 (2002). https://doi.org/10.1143/JJAP.41.2445

    Article  CAS  Google Scholar 

  98. M. Tsuchiaki, C. Hongo, A. Takashima, K. Ohuchi, Intrinsic junction leakage generated by cobalt in-diffusion during CoSi2 formation. Jpn. J. Appl. Phys. 41, 2437–2444 (2002). https://doi.org/10.1143/JJAP.41.2437

    Article  CAS  Google Scholar 

  99. D. Codegoni, G.P. Carnevale, C. De Marco, I. Mica, M.L. Polignano, Leakage current and deep levels in CoSi2 silicided junctions. Mat. Sci. Eng. 124–125, 349–353 (2005). https://doi.org/10.1016/j.mseb.2005.08.125

    Article  CAS  Google Scholar 

  100. M. Tsuchiaki, A. Murakoshi, C. Hongo, Systematic investigation of leakage current suppression by pre-silicidation implantation for CoSi2 formation on shallow n+p Si diodes. Jpn. J. Appl. Phys. 42, 1847–1854 (2003). https://doi.org/10.1143/JJAP.42.1847

    Article  CAS  Google Scholar 

  101. C. D’Emic, K. Ohuchi, C. Murray, C. Lavoi, C. Scerbo, R. Carruthers, P.R. Besser, B. Yang, Material and integration issues for rare-earth silicides as gate and diffusion contacts in advanced CMOS. ECS Trans. 13(1), 389–396 (2008). https://doi.org/10.1149/1.2911521

    Article  Google Scholar 

  102. E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R.L. Van Meirhaeghe, S. Forment, P. Clauws, Point-defect generation in Ni-, Pd- and Pt-germanide Schottky barriers on n-type germanium. J. Electrochem. Soc. 154, H857–H861 (2007). https://doi.org/10.1149/1.2759832

    Article  CAS  Google Scholar 

  103. E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R.L. Van Meirhaeghe, S. Forment, P. Clauws, A deep level transient spectroscopy study of nickel germanide Schottky barriers on-type germanium. Appl. Phys. Lett. 88, 183506/1-3 (2006). https://doi.org/10.1063/1.2199615

  104. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su, S. Luce, J. Slattery, Full copper wiring in a sub-0.25 μm CMOS ULSI technology. Proc. IEDM 773–776 (1997). https://doi.org/10.1109/iedm.1997.650496

  105. S.M. Merchant, G. Gibson, M. Gross, D. Ibbotson, W. Josephson, S. Lytle, M. Oh, F. Stevie, S. Vatkavage, Recent developments in copper interconnects. Proc. Electrochem. Soc. 99(31), 91–98 (1999)

    Google Scholar 

  106. H.A. Bakar, Z. Awang, W.A.A. Razali, Minimizing Cu contamination risk and establishment of control limit for floor surface when running both Al and Cu in a shared production line, in Proceedings of the IEEE International Symposium on Integrated Circuits (2007), pp. 259–262. https://doi.org/10.1109/isicir.2007.4441847

  107. M. Inohara, H. Sakurai, T. Yamaguchi, H. Tomita, T. Iijima, H. Oyamatsu, T. Nakayama, H. Yoshimura, Y. Toyoshima, Copper contamination induced degradation of MOSFET characteristics and reliability. Digest VLSI Techn. 26–27 (2000). https://doi.org/10.1109/vlsit.2000.852755

  108. S. Prasad, How serious is the issue of backside Cu contamination in CMOS integrated circuits. Proc. Optoelectron. Microelectron. Mat. Dev. 25–28 (2000). https://doi.org/10.1109/commad.2000.1022884

  109. G. Van den bosch, S. Demuynck, Z. Tokai, G. Beyer, M. Van Hove, G. Groeseneken, Impact of copper contacts on front-end yield and reliability. Digest IEDM 1–4 (2006). https://doi.org/10.1109/iedm.2006.346967

  110. T. Kauerauf, G. Butera, K. Croes, S. Demuynck, C.J. Wilson, P. Roussel, C. Drijbooms, H. Bender, M. Lofrano, B. Vandevelde, Z. Tokei, G. Groeseneken, Degradation and failure analysis of copper and tungsten contacts under high fluence stress, in Proceedings of the 2010 IEEE International Reliability Physics Symposium (IRPS)—IRPS 712–716 (2002). https://doi.org/10.1109/irps.2010.5488744

  111. G. Banerjee, R.L. Rhoades, Chemical mechanical planarization. ECS Trans. 13(4), 1–19 (2008). https://doi.org/10.1149/1.2912973

    Article  CAS  Google Scholar 

  112. M. Krishnan, J.W. Nalaskowski, L.M. Cook, Chemical mechanical planarization: slurry chemistry, materials and mechanisms. Chem. Rev. 110, 178–204 (2009). https://doi.org/10.1021/cr900170z

    Article  CAS  Google Scholar 

  113. D. Zhao, X. Lu, Chemical mechanical polishing: theory and experiment. Friction 1, 306–326 (2013). https://doi.org/10.1007/s40544-013-0035-x

    Article  Google Scholar 

  114. D. Ballutaud, P. de Mierry, A. Aucouturier, E. Darque-Ceretti, Influence of thermal treatments on the distribution of contaminating copper near the surface of silicon: a comparative SIMS and XPS study. Appl. Surf. Sci. 47, 1–8 (1991). https://doi.org/10.1016/0169-4332(91)90096-3

    Article  CAS  Google Scholar 

  115. Th. Prescha, T. Zundel, J. Weber, H. Prigge, P. Gerlach, Fast diffusing defects induced by copper in silicon. Mater. Sci. Eng. B 4, 79–82 (1989). https://doi.org/10.1016/0921-5107(89)90220-1

    Article  Google Scholar 

  116. M. Weling, V. Dunton, L. Zhang, R. Annapragada, CMP integration issues for sub 0.15 μm process technologies. Proc. Electrochem. Soc. 99–37, 517–527 (1999)

    Google Scholar 

  117. N. Yarykin, J. Weber, Nickel in silicon: room temperature in-diffusion and interaction with radiation defects. Phys. Status Solidi C, 1700005/1–7 (2017). https://doi.org/10.1002/pssc.201700005

  118. J. Lindroos, D.P. Fenning, D. Backlund, E. Verlage, A. Gorgulla, S.K. Estreicher, H. Savin, T. Buonassisi, Nickel: a very fast diffuser in silicon. J. Appl. Phys. 113, 204906-1/7 (2013). https://doi.org/10.1063/1.4807799

  119. J.P. Gambino, S.A. Adderly, J.U. Knickerbocker, An overview of through-silicon-via technology and manufacturing challenges. Microelectron. Eng. 135, 73–106 (2015). https://doi.org/10.1016/j.mee.2014.10.019

    Article  CAS  Google Scholar 

  120. N. Watanabe, M. Aoyago, D. Katagawa, T. Bandoh, T. Itsui, E. Yamamoto, Evaluation of a TSV reveal process using direct Si/Cu grinding and residual metal removal, in Proceeding of  IEEE 65th Electronic Components and Technology Conference (2015), pp. 1452–1456. https://doi.org/10.1109/ectc.2015.7159788

  121. N. Watanabe, M. Aoyago, D. Katagawa, T. Bandoh, T. Itsui, E. Yamamoto, Improvement of a TSV reveal process comprising direct Si/Cu grinding and residual metal removal, in Proceeding of  IEEE 66th Electronic Components and Technology Conference (2016), pp. 1259–1264. https://doi.org/10.1109/ectc.2016.381

  122. T. Kauerauf, A. Branka, K. Croes, A. Redolfi, Y. Civale, C. Torregiani, G. Groeseneken, E. Beyne, Effect of TSV presence on FEOL yield and reliability, in Proceedings of Reliability Physics Symposium—IPRS (2010), pp. 712–716. https://doi.org/10.1109/iedm.2006.346967

  123. C. Croes, J. De Messemaeker, Y. Li, W. Guo, V. Pedreira, V. Cherman, M. Stucchi, I. De Wolf, E. Beyne, Reliability challenges related to TSV integration and 3-D stacking. IEEE Des. Test 33, 37–45 (2016). https://doi.org/10.1109/MDAT.2015.2501302

    Article  Google Scholar 

  124. M.A. Asiatici, A.C. Fischer, G. Stemme, F. Niklaus, Through silicon vias with invar metal conductor for high-temperature applications. J. Microelectron. Syst. 26, 158–168 (2017). https://doi.org/10.1109/JMEMS.2016.2624423

    Article  CAS  Google Scholar 

  125. H. Ishiwara, Ferroelectric random access memories. J. NanoSci. Nanotechn. 12, 7619–7627 (2012). https://doi.org/10.1166/jnn.2012.6651

    Article  CAS  Google Scholar 

  126. H. Boubekeur, T. Mikolajick, W. Pamler, J. Hopfner, L. Frey, H. Ryssel, Platinum contamination issues in ferroelectric memories. J. Appl. Phys. 92, 3257–3265 (2002). https://doi.org/10.1063/1.1500414

    Article  CAS  Google Scholar 

  127. H. Boubekeur, T. Mikolajick, N. Nael, C. Dehm, W. Pamler, A. Bauer, L. Frey, H. Ryssel, Impact of platinum contamination on ferroelectric memories. Int. Ferroelectr. 37, 75–81 (2001). https://doi.org/10.1080/10584580108015667

    Article  CAS  Google Scholar 

  128. T. Bearda, S. De Gendt, L. Loewenstein, M. Knotter, P. Mertens, M. Heyns, Behaviour of metallic contaminants during MOS processing. Solid-State Phenom. 65–66, 11–14 (1999). https://doi.org/10.4028/www.scientific.net/SSP.65-66.1

  129. Y. Borde, A. Maurel, A. Danel, A. Roche, M. Veillerot, Impact of metallic contamination on Si: short loop issues. ECS Trans. 11(2), 151–158 (2007). https://doi.org/10.1149/1.2779374

    Article  CAS  Google Scholar 

  130. S.R. Gilbert, D. Ritchey, M. Tavassoli, J. Amano, L. Colombo, S.R. Summerfelt, Cross-contamination during ferroelectric non-volatile memory fabrication. J. Electrochem. Soc. 184, G195–G199 (2001). https://doi.org/10.1149/1.1353581

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Cor Claeys .

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Claeys, C., Simoen, E. (2018). Source of Metals in Si and Ge Crystal Growth and Processing. In: Metal Impurities in Silicon- and Germanium-Based Technologies . Springer Series in Materials Science, vol 270. Springer, Cham. https://doi.org/10.1007/978-3-319-93925-4_3

Download citation

Publish with us

Policies and ethics