Abstract
This chapter proposes and analyzes two autonomous, hardware-based monitor/knob solutions for Network-on-Chip (NoC) architectures, which operate at the micro-architectural level. The two proposed techniques tackle power and reliability issues pertaining to the NoC: (a) the BlackOut architecture is a fine-grained power-gating methodology targeting individual router buffers. Its goal is to minimize leakage power consumption, without adversely impacting the system performance; (b) the NoCAlert framework is a comprehensive on-line and real-time fault-detection and localization mechanism. Based on the concept of invariance checking, NoCAlert employs a group of lightweight micro-checker modules that collectively implement real-tim5e hardware assertions. Overall, the two solutions demonstrate the potential for ultrafast and low-cost monitor-and-knob mechanisms that can be applied to the NoC of multi-/many-core chips.
Access this chapter
Tax calculation will be finalised at checkout
Purchases are for personal use only
References
Agarwal, N., Krishna, T., Peh, L.-S., & Jha, N.K. (2009). GARNET: A detailed on-chip network model inside a full-system simulator. In ISPASS. https://doi.org/10.1109/ISPASS.2009.4919636.
Binkert, N., Beckmann, B., Black, G., Reinhardt, S.K., Saidi, A., Basu, A., et al. (2011). The Gem5 simulator. SIGARCH Computer Architecture News. https://doi.org/10.1145/2024716.2024718.
Borrione, D., Helmy, A., Pierre, L., & Schmaltz, J. (2007). A generic model for formally verifying NoC communication architectures: A case study. In NOCS. https://doi.org/10.1109/NOCS.2007.1.
Brayton, R. K., Sangiovanni-Vincentelli, A. L., McMullen, C. T., & Hachtel, G. D. (1984). Logic minimization algorithms for VLSI synthesis. Norwell: Kluwer Academic Publishers.
Chen, L., Zhu, D., Pedram, M., & Pinkston, T. M. (2015). Power punch: Towards non-blocking power-gating of NoC routers. In HPCA. https://doi.org/10.1109/HPCA.2015.7056048.
Chrysanthou, K., Englezakis, P., Prodromou, A., Panteli, A., Nicopoulos, C., Sazeides, Y., (2016). An online and real-time fault detection and localization mechanism for network-on-chip architectures. In TACO. https://doi.org/10.1145/2930670.
Constantinides, K., Plaza, S., Blome, J., Zhang, B., Bertacco, V., Mahlke, S., et al. (2006). BulletProof: A defect-tolerant CMP switch architecture. In HPCA. https://doi.org/10.1109/HPCA.2006.1598108.
Das, R., Narayanasamy, S., Satpathy, S. K., & Dreslinski, R. G. (2013). Catnap: Energy proportional multiple network-on-chip. In ISCA. https://doi.org/10.1145/2485922.2485950.
Fick, D., DeOrio, A., Hu, J., Bertacco, V., Blaauw, D., & Sylvester, D. (2009). Vicis: A reliable network for unreliable silicon. In DAC (pp. 812–817).
Galles, M. (1997). Spider: A high-speed network interconnect. IEEE Micro, 17, 34–39.
Hoskote, Y., Vangal, S., Singh, A., Borkar, N., & Borkar, S. (2007). A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro. https://doi.org/10.1109/MM.2007.4378783.
Hosseinabady, M., Dalirsani, A., & Navabi, Z. (2007). Using the inter- and intra-switch regularity in NoC switch testing. In DATE. https://doi.org/10.1109/DATE.2007.364618.
Kakoee, M. R., Bertacco, V., Benini, L. (2011). A distributed and topology-agnostic approach for on-line NoC. In International Symposium on NoCs. https://doi.org/10.1145/1999946.1999965.
Kakoee, M. R., Bertacco, V., Benini, L. (2011). ReliNoC: A reliable network for priority-based on-chip communication. In DATE (pp. 1–6).
Matsutani, H., Koibuchi, M., Ikebuchi, D., Usami, K. Nakamura, H. & Amano, H. (2011). Performance, area, and power evaluations of ultrafine-grained run-time power-gating routers for CMPs. In TCAD. https://doi.org/10.1109/TCAD.2011.2110470.
Meixner, A., Bauer, M. E., & Sorin, D. (2007). Argus: Low-cost, comprehensive error detection in simple cores. In International Symposium on Microarchitecture. https://doi.org/10.1109/MICRO.2007.8.
Mishra, A., Vijaykrishnan, N., & Das, C. (2011). A case for heterogeneous on-chip interconnects for CMPs. In ISCA 2011 (pp. 389–399).
Moscibroda, T., & Mutlu, O. (2009). A case for bufferless routing in on-chip networks. In ISCA ’09 (pp. 196–207).
Nassif, S. R., Mehta, N., & Yu, C. (2010). A resilience roadmap. In DATE (pp. 1011–1016).
Nicopoulos, C., Srinivasan, S., Yanamandra, A., Dongkook, P., Narayanan, V., Das, C. R., et al. (2010). On the effects of process variation in network-on-chip architectures. IEEE Transactions on Dependable and Secure Computing. https://doi.org/10.1109/TDSC.2008.59.
Parikh, R., & Bertacco, V. (2014). ForEVeR: A complementary formal and runtime verification approach to correct NoC functionality. ACM Transactions on Embedded Computing Systems. https://doi.org/10.1145/2514871.
Parikh, R. & Bertacco, V. (2011). Formally enhanced runtime verification to ensure NoC functional correctness. In MICRO. https://doi.org/10.1145/2155620.2155668.
Prodromou, A., Panteli, A., Nicopoulos, C., & Sazeides, Y. (2012). NoCAlert: An on-line and real-time fault detection mechanism for network-on-chip architectures. In MICRO. https://doi.org/10.1109/MICRO.2012.15.
Strano, A., Gómez, C., Ludovici, D., & Favalli, M., Gómez, M. E., & Bertozzi, D. (2011). Exploiting network-on-chip structural redundancy for a cooperative and scalable built-in self-test architecture. In DATE (pp. 1–6).
Sun, C., Chen, C. H. O., Kurian, G., Wei, L., Miller, J., Agarwal, A., et al. (2012). DSENT - A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In NoCS. https://doi.org/10.1109/NOCS.2012.31.
Woo, S. C., Ohara, M., Torrie, E., Singh, J. P., & Gupta, A. (1995). The SPLASH-2 programs: Characterization and methodological considerations. In ISCA (pp. 24–36).
Zoni, D., Canidio, A., Fornaciari, W., Englezakis, P., Nicopoulos, C., & Sazeides, Y. (2017). BlackOut: Enabling fine-grained power gating of buffers in network-on-chip routers. Journal of Parallel and Distributed Computing. https://doi.org/10.1016/j.jpdc.2017.01.016.
Zoni, D., Flich, J., & Fornaciari, W. (2016). CUTBUF: Buffer management and router design for traffic mixing in VNET-based NoCs. IEEE Transactions on Parallel and Distributed Systems, 27(6), 1603–1616. https://doi.org/10.1109/TPDS.2015.2468716.
Zoni, D., & Fornaciari, W. (2013). Sensor-wise methodology to face NBTI stress of NoC buffers. In DATE. https://doi.org/10.7873/DATE.2013.216.
Zoni, D., & Fornaciari, W. (2015). Modeling DVFS and power-gating actuators for cycle-accurate NoC-based simulators. ACM Journal on Emerging Technologies in Computing Systems, 12, 1–15. https://doi.org/10.1145/2751561.
Zoni, D., Terraneo, F., & Fornaciari, W. (2015). A DVFS cycle accurate simulation framework with asynchronous NoC design for power-performance optimizations. Journal of Signal Processing Systems. https://doi.org/10.1007/s11265-015-0989-1.
Author information
Authors and Affiliations
Corresponding author
Editor information
Editors and Affiliations
Rights and permissions
Copyright information
© 2019 Springer International Publishing AG, part of Springer Nature
About this chapter
Cite this chapter
Zoni, D. et al. (2019). Monitor and Knob Techniques in Network-on-Chip Architectures. In: Fornaciari, W., Soudris, D. (eds) Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms. Springer, Cham. https://doi.org/10.1007/978-3-319-91962-1_9
Download citation
DOI: https://doi.org/10.1007/978-3-319-91962-1_9
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-319-91961-4
Online ISBN: 978-3-319-91962-1
eBook Packages: EngineeringEngineering (R0)