Skip to main content

DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability

  • Conference paper
  • First Online:
Applied Reconfigurable Computing. Architectures, Tools, and Applications (ARC 2018)

Abstract

Embedded processors must efficiently deliver performance at low energy consumption. Both configurable and reconfigurable techniques can be used to fulfill such constraints, although applied in different situations. In this work, we propose DIM-VEX, a configurable processor coupled with a reconfigurable fabric, which can leverage both design time configurability and runtime reconfigurability. We show that, on average, such system can improve performance by up to 1.41X and reduce energy by up to 60% when compared to a configurable processor at the cost of additional area.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Beck, A.C.S., Lang Lisbôa, C.A., Carro, L.: Adaptable Embedded Systems, 1st edn. Springer, New York (2013). https://doi.org/10.1007/978-1-4614-1746-0

    Book  Google Scholar 

  2. Beck, A.C.S., Rutzig, M.B., Carro, L.: A transparent and adaptive reconfigurable system. Microprocess. Microsyst. 38(5), 509–524 (2014)

    Article  Google Scholar 

  3. Compton, K., Hauck, S.: Reconfigurable computing: a survey of systems and software. ACM Comput. Surv. 34(2), 171–210 (2002)

    Article  Google Scholar 

  4. Gonzalez, A., Tubella, J., Molina, C.: Trace-level reuse. In: Proceedings of the 1999 International Conference on Parallel Processing, pp. 30–37. IEEE Computer Society (1999)

    Google Scholar 

  5. Govindaraju, V., Ho, C.H., Nowatzki, T., Chhugani, J., Satish, N., Sankaralingam, K., Kim, C.: DySER: unifying functionality and parallelism specialization for energy-efficient computing. IEEE Micro 32(5), 38–51 (2012)

    Article  Google Scholar 

  6. Gschwind, M., Altman, E., Sathaye, S., Ledak, P., Appenzeller, D.: Dynamic and transparent binary translation. Computer 33(3), 54–59 (2000)

    Article  Google Scholar 

  7. Gustafsson, J., Betts, A., Ermedahl, A., Lisper, B.: The Mälardalen WCET benchmarks: past, present and future. In: WCET, vol. 15, pp. 136–146 (2010)

    Google Scholar 

  8. Koenig, R., Bauer, L., Stripf, T., Shafique, M., Ahmed, W., Becker, J., Henkel, J.: KAHRISMA: a novel hypermorphic reconfigurable-instruction-set multi-grained-array architecture. In: 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp. 819–824. IEEE, March 2010

    Google Scholar 

  9. Lysecky, R., Stitt, G., Vahid, F.: Warp processors. ACM Trans. Des. Autom. Electron. Syst. 11(3), 659–681 (2006)

    Article  Google Scholar 

  10. Sartor, A.L., Becker, P., Hoozemans, J., Wong, S., Beck, A.C.S.: Dynamic trade-off among fault tolerance, energy consumption, and performance on a multiple-issue VLIW processor. IEEE Trans. Multi-Scale Comput. Syst. (2017)

    Google Scholar 

  11. Scott, J., Lee, L.H., Arends, J., Moyer, B.: Designing the low-power M\(^\bullet \)CORE™ architecture. In: Power Driven Microarchitecture Workshop, pp. 145–150 (1998)

    Google Scholar 

  12. Souza, J.D., Carro, L., Rutzig, M.B., Beck, A.C.S.: A reconfigurable heterogeneous multicore with a homogeneous ISA. In: Proceedings of the 2016 Conference on Design, Automation & Test in Europe, DATE 2016, pp. 1598–1603 (2016)

    Google Scholar 

  13. Watkins, M.A., Nowatzki, T., Carno, A.: Software transparent dynamic binary translation for coarse-grain reconfigurable architectures. In: 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 138–150. IEEE, March 2016

    Google Scholar 

  14. Wong, S., van As, T., Brown, G.: \(\rho \)-VEX: a reconfigurable and extensible softcore VLIW processor. In: 2008 International Conference on Field-Programmable Technology, pp. 369–372. IEEE, December 2008

    Google Scholar 

Download references

Acknowledgments

This work was produced under grant from the Brazilian agencies FAPERGS, CAPES and CNPQ and the European Network HiPEAC.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jeckson Dellagostin Souza .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Souza, J.D., Sartor, A.L., Carro, L., Rutzig, M.B., Wong, S., Beck, A.C.S. (2018). DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability. In: Voros, N., Huebner, M., Keramidas, G., Goehringer, D., Antonopoulos, C., Diniz, P. (eds) Applied Reconfigurable Computing. Architectures, Tools, and Applications. ARC 2018. Lecture Notes in Computer Science(), vol 10824. Springer, Cham. https://doi.org/10.1007/978-3-319-78890-6_30

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-78890-6_30

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-78889-0

  • Online ISBN: 978-3-319-78890-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics