Skip to main content

Low Jitter Clock Generators

  • Chapter
  • First Online:
  • 999 Accesses

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

Abstract

This chapter will discuss design and implementation issues for low noise clock generators in nuclear applications. This clock generator is associated with high resolution Time-to-Digital Converters. TDCs are required in several of today’s high-energy physics experiments such as CMS and ATLAS at CERN. In such applications, it is important to measure the time difference between different hit channels (originating from the detector circuits) of the experiment with single-shot precision rather than oversampling converters. The TDCs, required in those applications, are typically multichannel circuits with channel counts ranging up to 64. The TDCs are based on a timing generator which is a DLL locked to a high frequency reference clock. In this SoC, the frequency of the DLL is targeted to run at 2.56 GHz. Since the timing resolution of the TDCs is aimed in the picosecond range (3 ps bin size), the reference clock stability of the DLL becomes critical in terms of jitter or phase noise. Ideally the DLL would receive a very clean high speed clock with no uncertainty on the clock edges but in reality there will be jitter. Since this TDC will be used in LHC experiments, the circuit will use the 40 MHz reference of the accelerator which is multiplied by 64 in the PLL.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Ricardo Reis, Yu Cao, and Gilson Wirth. Circuit Design for Reliability. Springer-Verlag New York, 2015.

    Google Scholar 

  2. T. P. Wang and S. Y. Wang. A low-voltage low-power low-phase-noise wide-tuning-range 0.18- um CMOS VCO with high-performance FOMT of 196.3 dbc/hz. In 2013 IEEE MTT-S International Microwave Symposium Digest (MTT), pages 1–4, June 2013.

    Google Scholar 

  3. Hongda Zheng, Dongxu Yang, Dajie Zeng, Li Zhang, and Zhiping Yu. Design of a 24GHz wide tuning-range VCO with optimized switches in resonator. In 2010 IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC), pages 1–4, Dec 2010.

    Google Scholar 

  4. D. S. Lee, J. H. Jang, H. G. Park, Y. Pu, K. C. Hwang, Y. Yang, M. K. Seo, and K. Y. Lee. A wide-locking-range dual injection-locked frequency divider with an automatic frequency calibration loop in 65-nm CMOS. IEEE Transactions on Circuits and Systems II: Express Briefs, 62(4):327–331, April 2015.

    Google Scholar 

  5. S. J. Horst, S. D. Phillips, J. D. Cressler, K. Kruckmeyer, R. Eddy, A. Aude, P. O’Farrell, B. Zhang, E. Wilcox, and K. LaBel. A study of total dose mitigation approaches for charge pumps in phase-locked loop applications. IEEE Transactions on Nuclear Science, 58(6): 3038–3045, Dec 2011.

    Google Scholar 

  6. T. D. Loveless, L. W. Massengill, W. T. Holman, B. L. Bhuva, D. McMorrow, and J. H. Warner. A generalized linear model for single event transient propagation in phase-locked loops. IEEE Transactions on Nuclear Science, 57(5):2933–2947, Oct 2010.

    Google Scholar 

  7. Z. Chen, M. Lin, Y. Zheng, Z. Wei, S. Huang, and S. Zou. Single-event transient characterization of a radiation-tolerant charge-pump phase-locked loop fabricated in 130 nm PD-SOI technology. IEEE Transactions on Nuclear Science, 63(4):2402–2408, Aug 2016.

    Google Scholar 

  8. T. D. Loveless, L. W. Massengill, B. L. Bhuva, W. T. Holman, R. A. Reed, D. McMorrow, J. S. Melinger, and P. Jenkins. A single-event-hardened phase-locked loop fabricated in 130 nm CMOS. IEEE Transactions on Nuclear Science, 54(6):2012–2020, Dec 2007.

    Google Scholar 

  9. V. Prasad and S. Sandya. Single event transient tolerant high speed phase frequency detector for pll based frequency synthesizer. In International Conference on Circuits, Communication, Control and Computing, pages 77–80, Nov 2014.

    Google Scholar 

  10. S. J. Horst, S. D. Phillips, P. Saha, J. D. Cressler, D. McMorrow, and P. Marshall. A theory of single-event transient response in cross-coupled negative resistance oscillators. IEEE Transactions on Nuclear Science, 57(6):3349–3357, Dec 2010.

    Google Scholar 

  11. S. M. Jung and J. M. Roveda. A radiation-hardened-by-design phase-locked loop using feedback voltage controlled oscillator. In Sixteenth International Symposium on Quality Electronic Design, pages 103–106, March 2015.

    Google Scholar 

  12. T. Wang, K. Wang, L. Chen, A. Dinh, B. Bhuva, and R. Shuler. A RHBD LC-tank oscillator design tolerant to single-event transients. IEEE Transactions on Nuclear Science, 57(6): 3620–3625, Dec 2010.

    Google Scholar 

  13. Y. Boulghassoul, L. W. Massengill, A. L. Sternberg, and B. L. Bhuva. Effects of technology scaling on the set sensitivity of RF CMOS voltage-controlled oscillators. IEEE Transactions on Nuclear Science, 52(6):2426–2432, Dec 2005.

    Google Scholar 

  14. J. G. Maneatis. Low-jitter process-independent DLL and PLL based on self-biased techniques. IEEE Journal of Solid-State Circuits, 31(11):1723–1732, Nov 1996.

    Google Scholar 

  15. P. C. Huang, W. S. Chang, and T. C. Lee. 21.2 a 2.3GHz fractional-n dividerless phase-locked loop with -112dbc/hz in-band phase noise. In 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pages 362–363, Feb 2014.

    Google Scholar 

  16. Pin-En Su and S. Pamarti. A 2-mhz bandwidth fractional-n synthesizer based on a fractional frequency divider with digital spur suppression. In 2010 IEEE Radio Frequency Integrated Circuits Symposium, pages 413–416, May 2010.

    Google Scholar 

  17. D. Tasca, M. Zanuso, G. Marzin, S. Levantino, C. Samori, and A. L. Lacaita. A 2.9 to 4.0-GHz fractional-n digital PLL with bang-bang phase detector and 560-fs rms integrated jitter at 4.5-mw power. IEEE Journal of Solid-State Circuits, 46(12):2745–2758, Dec 2011.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Prinzie, J., Steyaert, M., Leroux, P. (2018). Low Jitter Clock Generators. In: Radiation Hardened CMOS Integrated Circuits for Time-Based Signal Processing . Analog Circuits and Signal Processing. Springer, Cham. https://doi.org/10.1007/978-3-319-78616-2_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-78616-2_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-78615-5

  • Online ISBN: 978-3-319-78616-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics