Skip to main content

Designing with FinFETs and Process Variation Impact

  • Chapter
  • First Online:

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 39))

Abstract

Scaled planar transistor devices present significant increases of the leakage current exacerbated by process variations, which limits the performance of some electronic applications. FinFET technology has been adopted starting 22 nm technology node for high-performance and power-efficient applications. This chapter introduces FinFET devices. The fabrication steps for making fins and middle-of-line (MOL) local interconnects are described. Design issues unique to FinFET technology are discussed. A step-by-step procedure to create the layout of an inverter cell is presented. The main sources of process variations in FinFET technology are analyzed, and their impact on the delay performance of logic cells is discussed. The computing of the delay variance (standard deviation) of an inverter gate based on FinFET technology is presented. A hand-by-hand example of computing the delay of a single logic cell is presented.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. B. Ho, X. Sun, C. Shin, T.-J.K. Liu, Design optimization of multigate bulk MOSFETs. IEEE Trans. Electron Devices 60(1), 28–33 (2013)

    Google Scholar 

  2. J.-H. Lee, Nano Devices and Circuit Techniques for Low-Energy Applications and Energy Harvesting (Springer, Dordrecht, 2016)

    Google Scholar 

  3. C.A. Mack, Seeing double. IEEE Spectrum 11(45), 46–51 (2008). https://doi.org/10.1109/MSPEC.2008.4659384

  4. C.C. Chiang, J. Kawa, Design for Manufacturability and Yield for Nano-Scale CMOS (Springer, Dordrecht, 2007)

    Google Scholar 

  5. M. Amirtharaj, Z. Kruder, Lecture: ENEE 416: Integrated Circuit (IC) Fabrication Lab (Fall 2011): Topic: Double patterning and hyper-numerical aperture immersion lithography (2011). http://www.ece.umd.edu/class/enee416/GroupActivities/GroupActivity8.htm

  6. G. Bailey, A. Tritchkov, J. Park, L. Hong, V. Wiaux, E. Hendrickx, S. Verhaegen, P. Xie, J. Versluijs, Double pattern EDA solutions for 32nm HP and beyond, in Proceeding of SPIE, vol. 6521 (2007). https://doi.org/10.1117/12.712773

  7. J. Park, S. Hsu, D. Van Den Broeke, J. Chen, M. Dusa, R. Socha, J. Finders, B. Vleeming, A. van Oosten, P. Nikolsky et al., Application challenges with double patterning technology (DPT) beyond 45nm, in Proceeding of SPIE, vol. 6349 (2006). https://doi.org/10.1117/12.692921

  8. M.-S. Kim et al., Self-aligned double patterning of Ix nm FinFET; new device integration through the challenging geometries, in 2013 14th International Conference on Ultimate Integration on Silicon (ULIS), Mar 2013, pp. 101–104. https://doi.org/10.1109/ULIS.2013.6523501

  9. M. Orshansky, S.R. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Constructive Approach (Springer, Boston, 2008)

    Google Scholar 

  10. G. Bouche, E. Geiss, S. Beasor, A. Wei, D.E. Civay, Methods for Fabricating Finfet Integrated Circuits with Simultaneous Formation of Local Contact Openings, Google Patents, US Patent App. 14/164,582 (2014)

    Google Scholar 

  11. M. Rashed, N. Jain, J. Kim, M. Tarabbia, I. Rahim, S. Ahmed, J. Kim, I. Lin, S. Chan, H. Yoshida, et al., Innovations in special constructs for standard cell libraries in sub 28nm technologies, in IEEE International Electron Devices Meeting, Dec 2013, pp. 9.7.1–9.7.4. https://doi.org/10.1109/IEDM.2013.6724597

  12. T. Kauerauf, A. Branka, G. Sorrentino, P. Roussel, S. Demuynck, K. Croes, K. Mercha, J. Bömmels, Z. Tőkei, G. Groeseneken, Reliability of MOL local interconnects, in IEEE International Reliability Physics Symposium (IRPS), Apr 2013, pp. 2F.5.1–2F.5.5. https://doi.org/10.1109/IRPS.2013.6531970

  13. P. Schuddinck, M. Badaroglu, M. Stucchi, S. Demuynck, A. Hikavyy, M. Garcia-Bardon, A. Mercha, A. Mallik, T. Chiarella, S. Kubicek, et al., Standard cell level parasitics assessment in 20nm BPL and 14nm BFF, in IEEE International Electron Devices Meeting (IEDM), Dec 2012, pp. 25.3.1–25.3.4. https://doi.org/10.1109/IEDM.2012.6479101

  14. K. Vaidyanathan, Q. Zhu, L. Liebmann, K. Lai, S. Wu, R. Liu, Y. Liu, A. Strojwas, L. Pileggi, Exploiting sub-20-nm complementary metal-oxide semiconductor technology challenges to design affordable systems-on-chip. J. Micro/Nanolithogr. MEMS MOEMS 14(1), 011007-1–011007-17 (2015). https://doi.org/10.1117/1.JMM.14.1.011007

  15. R. Xie et al., A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels, in IEEE International Electron Devices Meeting (IEDM), Dec 2016, pp. 2.7.1–2.7.4. https://doi.org/10.1109/IEDM.2016.7838334

  16. Y. Lin, B. Yu, B. Xu, D.Z. Pan, Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, vol. 36, Issue 7, pp. 1140–1152 (2015). https://doi.org/10.1109/TCAD.2017.2648843

  17. T. An, K. Choe, K.-W. Kwon, S. Kim, Performance optimization study of FinFETs considering parasitic capacitance and resistance. J. Semicond. Technol. Sci. 14(5), 525–536 (2014)

    Google Scholar 

  18. M.S. Kim, W. Cane-Wissing, X. Li, J. Sampson, S. Datta, S.K. Gupta, V. Narayanan, Comparative area and parasitics analysis in FinFET and heterojunction vertical TFET standard cells. ACM J. Emerg. Technol. Comput. Syst. 12(4) (2016). https://doi.org/10.1145/2914790

  19. Nangate Library. http://www.nangate.com/. Accessed 26 Oct 2017

  20. W. Xingsheng, A.R. Brown, B. Cheng, A. Asenov, Statistical variability and reliability in nanoscale FinFETs, in IEEE International Electron Devices Meeting, Dec 2011, pp. 5.4.1–5.4.4

    Google Scholar 

  21. E. Baravelli, M. Jurczak, N. Speciale, K. De Meyer, A. Dixit, Impact of LER and random dopant fluctuations on FinFET matching performance. IEEE Trans. Nanotechnol. 7(3), 291–298 (2008)

    Google Scholar 

  22. J.-S. Yoon, C.-K. Baek, R.-H. Baek, Process-induced variations of 10-nm node bulk nFinFETs considering middle-of-line parasitics. IEEE Trans. Electron Devices 63(9), 3399–3405 (2016)

    Google Scholar 

  23. Y.P. Tsividis, Operation and Modeling of the MOS Transistor. McGraw-Hill Series in Electrical Engineering (McGraw-Hill, New York, 1987)

    Google Scholar 

  24. R. Chau et al., High-k/metal-gate stack and its MOSFET characteristics. IEEE Electron Device Lett. 25(6), 408–410 (2004)

    Google Scholar 

  25. M.T. Bohr, R.S. Chau, T. Ghani, K. Mistry, The high-k solution. IEEE Spectrum 44(10), 29–35 (2005)

    Google Scholar 

  26. S.H. Rasouli, K. Endo, J.F. Chen, N. Singh, K. Banerjee, Grain-orientation induced quantum confinement variation in FinFETs and multi-gate ultra-thin body CMOS devices and implications for digital design. IEEE Trans. Electron Devices 58(8), 2282–2292 (2011). https://doi.org/10.1109/TED.2011.2151196

  27. H.F. Dadgour, K. Endo, V.K. De, K. Banerjee, Grain-orientation induced work function variation in nanoscale metal-gate transistors part I: modeling, analysis, and experimental validation. IEEE Trans. Electron Devices 57(10), 2504–2514 (2010). https://doi.org/10.1109/TED.2010.2063191

  28. W. Han, Z.M. Wang (eds.), Toward Quantum FinFET. Lecture Notes in Nanoscale 1 Science and Technology (Springer, New York, 2013). https://doi.org/10.1007/978-3-319-02021-1

  29. C.A. Mack, Field Guide to Optical Lithography (SPIE Press, Bellingham, 2006)

    Google Scholar 

  30. C. Shin, Variation-Aware Advanced CMOS Devices and SRAM (Springer, Dordrecht, 2016)

    Google Scholar 

  31. E. Baravelli, A. Dixit, R. Rooyackers, M. Jurczak, N. Speciale, K. De Meyer, Impact of line-edge roughness on FinFET matching performance. IEEE Trans. Electron Devices 54(9), 2466–2474 (2007). https://doi.org/10.1109/TED.2007.902166

  32. V. Sriramkumar, N. Paydavosi, D. Lu, C.-H. Lin, M. Dunga, S. Yao, T. Morshed, A. Niknejad, C. Hu, BSIM-CMG 106.0. 0 Multi-Gate MOSFET Compact Model. Department of Electrical Engineering and Computer Sciences, University of California, Berkeley (2012)

    Google Scholar 

  33. K. Patel, T.-J.K. Liu, C.J. Spanos, Gate line edge roughness model for estimation of FinFET performance variability. IEEE Trans. Electron Devices 56(12), 3055–3063 (2009). https://doi.org/10.1109/TED.2009.2032605

  34. G. Leung, L. Lai, P. Gupta, C.O. Chui, Device- and circuit-level variability caused by line edge roughness for sub-32-nm FinFET technologies. IEEE Trans. Electron Devices 59(8), 2057–2063 (2012). https://doi.org/10.1109/TED.2012.2199499

  35. V.B. Kleeberger, H. Graeb, U. Schlichtmann, Predicting future product performance: modeling and evaluation of standard cells in FinFET technologies, in Proceedings of the 50th Annual Design Automation Conference (2013)

    Google Scholar 

  36. Y. Yang, N.K. Jha, FinPrin: FinFET logic circuit analysis and optimization under PVT variations. IEEE Trans. Very Large Scale Integr. Syst. 22(12), 2462–2475 (2014)

    Google Scholar 

  37. S. Karapetyan, V.B. Kleeberger, U. Schlichtmann, FinFET-based product performance: modeling and evaluation of standard cells in FinFET technologies. Microelectron. Reliab. 61, 30–34 (2016)

    Google Scholar 

  38. M. Alioto, G. Palumbo, M. Pennisi, Understanding the effect of process variations on the delay of static and domino logic. IEEE Trans. Very Large Scale Integr. Syst. 18(5), 697–710 (2010)

    Google Scholar 

  39. S. Natarajan et al., A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 μm 2 SRAM cell size, in IEEE International Electron Devices Meeting (IEDM), Dec 2014, pp. 3.7.1–3.7.3. https://doi.org/10.1109/IEDM.2014.7046976

  40. Predictive Technology Model (PTM). ptm.asu.edu. Accessed 26 Oct 2017

  41. J.P. Duarte, S. Khandelwal, A. Medury, C. Hu, P. Kushwaha, H. Agarwal, A. Dasgupta, Y.S. Chauhan, BSIM-CMG: standard FinFET compact model for advanced circuit design, in 41st European Solid-State Circuits Conference (ESSCIRC), Nov 2015

    Google Scholar 

  42. BSIM-CMG Model. http://bsim.berkeley.edu/models/bsimcmg/. Accessed 26 Oct 2017

  43. ITRS 2012, ITRS. http://www.itrs2.net/. Accessed 26 Oct 2017

  44. D.D. Lu, C.-H. Lin, A.M. Niknejad, C. Hu, Compact modeling of variation in FinFET SRAM cells. IEEE Des. Test Comput. 2(27), 44–50 (2010)

    Google Scholar 

  45. X. Wang, B. Cheng, A.R. Brown, C. Millar, A. Asenov, Statistical variability in 14-nm node SOI FinFETs and its impact on corresponding 6T-SRAM cell design, in Proceedings of the European Solid-State Device Research Conference (ESSDERC) (2012)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Champac, V., Garcia Gervacio, J. (2018). Designing with FinFETs and Process Variation Impact. In: Timing Performance of Nanometer Digital Circuits Under Process Variations. Frontiers in Electronic Testing, vol 39. Springer, Cham. https://doi.org/10.1007/978-3-319-75465-9_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-75465-9_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-75464-2

  • Online ISBN: 978-3-319-75465-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics