Skip to main content

Path Delay Under Process Variations

  • Chapter
  • First Online:
  • 868 Accesses

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 39))

Abstract

The previous chapter described the statistical delay under process variations of a digital gate. This chapter studies the statistical performance of a logic path composed of several gates under process variations. It is shown how to compute the delay variance (standard deviation) of a logic path. This is illustrated in detail for a two-inverter chain, and then this analysis is extended to a general logic path. Pure random and correlated variations are considered. The behavior of a logic path under process variations is analyzed. Several key design issues affecting the path delay are illustrated such as the impact of spatial correlation, the influence of relative delay sensitivities between gates, sizing a logic path, and logic depth. Based on the previous key design issues, designers can take actions to improve their designs and fulfill timing specifications efficiently. In the last issue addressed in this chapter, the main advantages of statistical-based design over corner-based design are analyzed. Overheads due to pessimistic corner design are increasing and becoming non-tolerable in nanometer digital circuits.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhao, K. Gala, R. Panda, Path-based statistical timing analysis considering inter-and intra-die correlations, in Proceeding of TAU, pp. 16–21 (2002)

    Google Scholar 

  2. K. Kang, B.C. Paul, K. Roy, Statistical timing analysis using levelized covariance propagation, in 41st Design, Automation and Test in Europe Conference, Mar 2005, vol. 2, pp. 764–769. https://doi.org/10.1109/DATE.2005.279

  3. S. Abbaspour, H. Fatemi, M. Pedram, VGTA: variation-aware gate timing analysis, in 2005 International Conference on Computer Design, Oct 2005, pp. 351–356. https://doi.org/10.1109/ICCD.2005.115

  4. R. Goyal, S. Shrivastava, H. Parameswaran, P. Khurana, Improved first-order parameterized statistical timing analysis for handling slew and capacitance variation, in 20th International Conference on VLSI Design Held Jointly with 6th International Conference on Embedded Systems (VLSID’07), Bangalore, Jan 2007, pp. 278–282. https://doi.org/10.1109/VLSID.2007.92

  5. M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Constructive Approach (Springer, Boston, 2008)

    Google Scholar 

  6. S.S. Sapatnekar, Variability and statistical design. IPSJ Trans. Syst. LSI Des. Methodol. 1, 18–32 (2008)

    Article  Google Scholar 

  7. H. Chang, S.S. Sapatnekar, Statistical timing analysis under spatial correlations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(9), 1467–1482 (2005). https://doi.org/10.1109/TCAD.2005.850834

    Article  Google Scholar 

  8. T. Kouno, H. Onodera, Consideration of transition-time variability in statistical timing analysis, in 2006 IEEE International SOC Conference, Sept 2006, pp. 207–210. https://doi.org/10.1109/SOCC.2006.283882

  9. T.T. Soong, Fundamentals of Probability and Statistics for Engineers (Wiley, Hoboken, 2004)

    Google Scholar 

  10. A. Papoulis, S.U. Pillai, Probability, Random Variables and Stochastic Processes, 4th edn. (McGraw-Hill, New York, 2002)

    Google Scholar 

  11. M.H. DeGroot, M.J. Schervish, Probability and Statistics, 4th edn. (Addison-Wesley, Reading, MA, 2012)

    Google Scholar 

  12. C. Forzan, D. Pandini, Statistical static timing analysis: a survey. Integr. VLSI J. 42(3), 409–435 (2009) . ISSN 0167-9260. Special Section on DCIS2006. https://doi.org/10.1016/j.vlsi.2008.10.002

  13. C.S. Amin et al., Statistical static timing analysis: how simple can we get? in Proceedings 42nd Design Automation Conference, New York, NY (Association for Computing Machinery, New York, 2005), pp. 652–657. https://doi.org/10.1145/1065579.1065751

  14. A. Agarwal, K. Chopra, D. Blaauw, V. Zolotov, Circuit optimization using statistical static timing analysis, in Proceedings 42nd Design Automation Conference, June 2005, pp. 321–324 (2005). https://doi.org/10.1109/DATE.2005.281

  15. A. Datta, S. Bhunia, S. Mukhopadhyay, K. Roy, A statistical approach to area-constrained yield enhancement for pipelined circuits under parameter variations, in 14th Asian Test Symposium (ATS’05), Dec 2005, pp. 170–175. https://doi.org/10.1109/ATS.2005.16

  16. V. Champac, A.N.H. Reyes, A.F. Gomez, Circuit performance optimization for local intra-die process variations using a gate selection metric, in 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Daejeon, pp. 165–170 (2015). https://doi.org/10.1109/VLSI-SoC.2015.7314410

  17. D. Blaauw, K. Chopra, A. Srivastava, L. Scheffer, Statistical timing analysis: from basic principles to state of the art. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), 589–607 (2008). https://doi.org/10.1109/TCAD.2007.907047

    Article  Google Scholar 

  18. H. Chang, S.S. Sapatnekar, Statistical timing analysis considering spatial correlations using a single pert-like traversal, in ICCAD-2003 International Conference on Computer Aided Design, Nov 2003, Washington, DC, pp. 621–625. https://doi.org/10.1109/ICCAD.2003.159746

  19. B.P. Wong, A. Mittal, Y. Cao, G. Starr, Nano-CMOS Circuit and Physical Design (Wiley, Hoboken, 2005). https://doi.org/10.1002/0471653829.ch11

    Google Scholar 

  20. X. Li, J. Le, L.T. Pileggi, Statistical performance modeling and optimization. Found. Trends Electron. Des. Autom. 1(4), 331–480 (2007). https://doi.org/10.1561/1000000008

    Article  Google Scholar 

  21. M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Constructive Approach (Springer, Boston, 2008)

    Google Scholar 

  22. M. Onabajo, J.G. Silva-Martinez, Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip (Springer, New York, 2012)

    Book  Google Scholar 

  23. C. Forzan, D. Pandini, Statistical static timing analysis: a survey. Integr. VLSI J. 42(3), 409–435 (2009). ISSN 0167-9260. Special Section on DCIS2006. https://doi.org/10.1016/j.vlsi.2008.10.002

  24. X. Zhang, X. Bai, Process variability-induced timing failures – a challenge in nanometer CMOS low-power design, in Emerging Technologies and Circuits, ed. by A. Amara, T. Ea, M. Belleville. Lecture Notes in Electrical Engineering, vol. 66 (Springer, Dordrecht, 2010). https://doi.org/10.1007/978-90-481-9379-012

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Champac, V., Garcia Gervacio, J. (2018). Path Delay Under Process Variations. In: Timing Performance of Nanometer Digital Circuits Under Process Variations. Frontiers in Electronic Testing, vol 39. Springer, Cham. https://doi.org/10.1007/978-3-319-75465-9_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-75465-9_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-75464-2

  • Online ISBN: 978-3-319-75465-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics