Skip to main content

Part of the book series: Frontiers in Electronic Testing ((FRET,volume 39))

  • 919 Accesses

Abstract

The previous chapter described the nature of the variations of the technological parameters due to the fabrication process. The behavior of correlated and pure random variations was illustrated. This chapter studies the impact of these variations on the statistical performance of logic cells. First, the statistical delay of a logic gate is obtained using simple mathematical formulation. A detailed statistical analysis is devoted to the inverter gate, which due to its simple structure allows an in-depth analysis of its statistical performance. It is shown how to compute the statistical inverter delay. Next, the statistical treatment is extended to a 2-Nand gate. This multi-input gate allows illustrating important related aspects with the serial/parallel connection of transistors that influence the statistical performance. The statistical analysis is generalized to other types of logic gates such as Nor, EX-OR, and AOI/AIO gates. Hand-by-hand examples to compute the delay variance (standard deviation) of logic gates are presented. The behavior of the delay variance of logic gates with relevant circuit parameters is analyzed. Several key design issues affecting the gate delay are illustrated such as sizing a logic gate, load capacitance, input slew time, power supply voltage, and gate delay dependence on input transition.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Forzan, D. Pandini, Why we need statistical static timing analysis, in 25th International Conference on Computer Design, Lake Tahoe, Oct 2007 (2007), pp. 91–96. https://doi.org/10.1109/ICCD.2007.4601885

  2. S.R. Nassif, Modeling and analysis of manufacturing variations, in IEEE Custom Integrated Circuits Conference (2001), pp. 223–228. https://doi.org/10.1109/CICC.2001.929760

  3. Y. Zhan, A.J. Strojwas, X. Li, L.T. Pileggi, D. Newmark, M. Sharma, Correlation-aware statistical timing analysis with non-Gaussian delay distributions, in Proceedings 42nd Design Automation Conference, Anaheim, June 2005 (2005), pp. 77–82. https://doi.org/10.1145/1065579.1065605

  4. R. Goyal, S. Shrivastava, H. Parameswaran, P. Khurana, Improved first-order parameterized statistical timing analysis for handling slew and capacitance variation, in 20th International Conference on VLSI Design Held Jointly with 6th International Conference on Embedded Systems (VLSID’07), Bangalore, Jan 2007, pp. 278–282. https://doi.org/10.1109/VLSID.2007.92

  5. S. Abbaspour, H. Fatemi, M. Pedram, VGTA: variation-aware gate timing analysis, in 2005 International Conference on Computer Design, Oct 2005, pp. 351–356. https://doi.org/10.1109/ICCD.2005.115

  6. A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhao, K. Gala, R. Panda, Path-based statistical timing analysis considering inter-and intra-die correlations, in Proceedings of TAU (2002), pp. 16–21

    Google Scholar 

  7. K. Kang, B.C. Paul, K. Roy, Statistical timing analysis using levelized covariance propagation, in 41st Design, Automation and Test in Europe Conference, Mar 2005, vol. 2, pp. 764–769. https://doi.org/10.1109/DATE.2005.279

  8. M. Orshansky, S. Nassif, D. Boning, Design for Manufacturability and Statistical Design: A Constructive Approach (Springer, Boston, 2008)

    Google Scholar 

  9. S.S. Sapatnekar, Variability and statistical design. IPSJ Trans. Syst. LSI Des. Methodol. 1, 18–32 (2008)

    Article  Google Scholar 

  10. A. Papoulis, S.U. Pillai, Probability, Random Variables and Stochastic Processes, 4th edn. (McGraw-Hill, Boston, 2002)

    Google Scholar 

  11. H. Mahmoodi, S. Mukhopadhyay, K. Roy, Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid-State Circuits 40(9), 1787–1796 (2005). https://doi.org/10.1109/JSSC.2005.852164

    Article  Google Scholar 

  12. D. Blaauw, K. Chopra, A. Srivastava, L. Scheffer, Statistical timing analysis: from basic principles to state of the art. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), 589–607 (2008). https://doi.org/10.1109/TCAD.2007.907047

    Article  Google Scholar 

  13. C. Forzan, D. Pandini, Statistical static timing analysis: a survey. Integr. VLSI J. 42(3), 409–435 (2009). ISSN 0167-9260. Special Section on DCIS2006. https://doi.org/10.1016/j.vlsi.2008.10.002

  14. T. Sakurai, A.R. Newton, Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J. Solid-State Circuits 25, 584–594 (1990). https://doi.org/10.1109/4.52187

    Article  Google Scholar 

  15. SPICE2: a Computer Program to Simulate Semiconductor Devices, Memo ERL-M520, Department of Electrical Engineering and Computer Science, University of California at Berkeley (1975)

    Google Scholar 

  16. H. Chang, S.S. Sapatnekar, Statistical timing analysis under spatial correlations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(9), 1467–1482 (2005). https://doi.org/10.1109/TCAD.2005.850834

    Article  Google Scholar 

  17. D.C. Montgomery, G.C. Runger, Applied Statistics and Probability for Engineers (Wiley, Hoboken, 2006)

    Google Scholar 

  18. S. Bhardwaj, P. Ghanta, S. Vrudhula, A framework for statistical timing analysis using non-linear delay and slew models, in 2006 IEEE/ACM International Conference on Computer Aided Design, San Jose, Nov 2006, pp. 225–230. https://doi.org/10.1109/ICCAD.2006.320140

  19. L. Cheng, J. Xiong, L. He, Non-linear statistical static timing analysis for non-gaussian variation sources, in 2007 44th ACM/IEEE Design Automation Conference, San Diego, June 2007, pp. 250–255

    Google Scholar 

  20. J. Singh, S.S. Sapatnekar, A scalable statistical static timing analyzer incorporating correlated non-gaussian and gaussian parameter variations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1), 160–173 (2008). https://doi.org/10.1109/TCAD.2007.907241

    Article  Google Scholar 

  21. L. Cheng, J. Xiong, L. He, Non-gaussian statistical timing analysis using second-order polynomial fitting. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(1), 130–140 (2009). https://doi.org/10.1109/TCAD.2008.2009143

    Article  Google Scholar 

  22. Z. Feng, P. Li, Y. Zhan, Fast second-order statistical static timing analysis using parameter dimension reduction, in 2007 44th ACM/IEEE Design Automation Conference, San Diego, June 2007, pp. 244–249

    Google Scholar 

  23. M. Eisele, J. Berthold, D. Schmitt-Landsiedel, R. Mahnkopf, The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. IEEE Trans. Very Large Scale Integr. Syst. 5(4), 360–368 (1997). https://doi.org/10.1109/92.645062

    Article  Google Scholar 

  24. B.P. Wong, A. Mittal, Y. Cao, G. Starr, Nano-CMOS Circuit and Physical Design (Wiley, Hoboken, 2005). https://doi.org/10.1002/0471653829.ch11

  25. H. Onodera, Variability modeling and impact on design, in IEEE International Electron Device Meeting, Dec 2008, pp. 773–778. https://doi.org/10.1109/IEDM.2008.4796791

  26. X. Zhang, X. Bai, Process variability-induced timing failures – a challenge in nanometer CMOS low-power design, in Emerging Technologies and Circuits, ed. by A. Amara, T. Ea, M. Belleville. Lecture Notes in Electrical Engineering, vol. 66 (Springer, Dordrecht, 2010). https://doi.org/10.1007/978-90-481-9379-012

    Google Scholar 

  27. J.K. Lorenz et al., Hierarchical simulation of process variations and their impact on circuits and systems: results. IEEE Trans. Electron Devices 58(8), 2227–2234 (2011). https://doi.org/10.1109/TED.2011.2150226

    Article  Google Scholar 

  28. C. Hawkins, J. Segura, P. Zarkesh-Ha, CMOS Digital Integrated Circuits A First Course (SciTech Publishing, Edison, New Jersey 2013)

    Google Scholar 

  29. H. Chang, S.S. Sapatnekar, Statistical timing analysis considering spatial correlations using a single pert-like traversal, in ICCAD-2003 International Conference on Computer Aided Design, Washington, DC, Nov 2003, pp. 621–625. https://doi.org/10.1109/ICCAD.2003.159746

  30. C.S. Amin et al., Statistical static timing analysis: how simple can we get?, in Proceedings 42nd Design Automation Conference, New York, NY (Association for Computing Machinery, New York, 2005). pp. 652–657. https://doi.org/10.1145/1065579.1065751

  31. H. Masuda, S. Ohkawa, A. Kurokawa, M. Aoki, Challenge: variability characterization and modeling for 65- to 90-nm processes, in Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, Sep 2005, pp. 593–599. https://doi.org/10.1109/CICC.2005.1568738

  32. W. Verhaegen, G. Van der Plas, G. Gielen, Automated test pattern generation for analog integrated circuits, in Proceedings of the 15th IEEE VLSI Test Symposium, May 1997

    Google Scholar 

  33. A. Srivastava, D. Sylvester, D. Blaauw, Statistical optimization of leakage power considering process variations using dual-Vth and sizing, in Proceedings of the 41st Annual Design Automation Conference, New York, NY (Association for Computing Machinery, New York, 2004), pp. 773–778. https://doi.org/10.1145/996566.996775

    Google Scholar 

  34. K.A. Gupta, D.K. Anvekar, V. Venkateswarlu, Comparative study and analysis of short channel effects for 180 nm and 45 nm transistors, in Advances in Computing and Information Technology, ed. by N. Meghanathan, D. Nagamalai, N. Chaki. Advances in Intelligent Systems and Computing, vol. 178 (Springer, Berlin, 2013). https://doi.org/10.1007/978-3-642-31600-569

    Google Scholar 

  35. K. Okada, K. Yamaoka, H. Onodera, Statistical gate-delay modeling with intra-gate variability, in ICCAD-2003 International Conference on Computer Aided Design, Nov 2003, pp. 908–913. https://doi.org/10.1109/ICCAD.2003.159782

  36. E. Acar, H. Mau, A. Heinig, B. Li, U. Schlichtmann, Examination of process parameter variations, in Process Variations and Probabilistic Integrated Circuit Design, ed. by M. Dietrich, J. Haase (Springer, New York, 2012). https://doi.org/10.1007/978-1-4419-6621-6_3

  37. K. Shinkai, M. Hashimoto, T. Onoye, A gate-delay model focusing on current fluctuation over wide range of process-voltage-temperature variations. Integr. VLSI J. 46, 345–358 (2013). https://doi.org/10.1016/j.vlsi.2013.01.003

    Article  Google Scholar 

  38. V. Litovsky, M. Zwolinsky, VLSI Circuit Simulation and Optimization (Springer Science and Business Media, Boston, 1996)

    Google Scholar 

  39. L. Guerra e Silva, Z. Zhu, J.R. Phillips, L.M. Silveira, Library compatible variational delay computation, in VLSI-SoC Research Trends in VLSI and Systems on Chip, ed. by G. De Micheli, S. Mir, R. Reis (Springer, New York, 2008). https://doi.org/10.1007/978-0-387-74909-9_10

  40. J. Xiong, V. Zolotov, L. He, Robust extraction of spatial correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4), 619–631 (2007). https://doi.org/10.1109/TCAD.2006.884403

    Article  Google Scholar 

  41. J. Xiong, V. Zolotov, C. Visweswariah, Efficient modeling of spatial correlations for parameterized statistical static timing analysis, in 2009 IEEE 8th International Conference on ASIC, Changsha, Hunan, Oct 2009, pp. 722–725 (2009). https://doi.org/10.1109/ASICON.2009.5351307

    Google Scholar 

  42. J.P. Uyemura, CMOS Logic Circuit Design (Kluwer, Boston, 2002)

    Google Scholar 

  43. D. Hodges, H. Jackson, R. Saleh, Analysis and Design of Digital Integrated Circuits, 3rd edn. (McGraw-Hill, New York, 2004)

    Google Scholar 

  44. L. Yu, S. Saxena, C. Hess, I.A.M. Elfadel, D. Antoniadis, D. Boning, Statistical library characterization using belief propagation across multiple technology nodes, in 2015 Design, Automation Test in Europe Conference Exhibition (DATE), Mar 2015, pp. 1383–1388

    Google Scholar 

  45. M. Alioto, G. Palumbo, M. Pennisi, Understanding the effect of process variations on the delay of static and domino logic. IEEE Trans. Very Large Scale Integr. Syst. 18(5), 697–710 (2010). https://doi.org/10.1109/TVLSI.2009.2015455

    Article  Google Scholar 

  46. A. Agarwal, K. Chopra, D. Blaauw, Statistical timing based optimization using gate sizing, in Design, Automation and Test in Europe, Mar 2005, vol. 1, pp. 400–405. https://doi.org/10.1109/DATE.2005.281

    Google Scholar 

  47. S.H. Choi, B.C. Paul, K. Roy, Novel sizing algorithm for yield improvement under process variation in nanometer technology, in Proceedings of the 41st annual Design Automation Conference 2004, July 2004, New York, pp. 454–459

    Google Scholar 

  48. J.B. Sulistyo, D.S. Ha, A new characterization method for delay and power dissipation of standard library cells. VLSI Des. 15(3), 667–678 (2002). https://doi.org/10.1080/1065514021000012273

    Article  Google Scholar 

  49. D.N. da Silva, A.I. Reis, R.P. Ribas, CMOS logic gate performance variability related to transistor network arrangements. Microelectron. Reliab. 49(9), 977–981 (2009). https://doi.org/10.1016/j.microrel.2009.07.023

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Champac, V., Garcia Gervacio, J. (2018). Gate Delay Under Process Variations. In: Timing Performance of Nanometer Digital Circuits Under Process Variations. Frontiers in Electronic Testing, vol 39. Springer, Cham. https://doi.org/10.1007/978-3-319-75465-9_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-75465-9_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-75464-2

  • Online ISBN: 978-3-319-75465-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics