Skip to main content

Secure Power Management and Delivery Within Intelligent Power Networks on-Chip

  • Chapter
  • First Online:
Green Photonics and Electronics

Part of the book series: NanoScience and Technology ((NANO))

Abstract

A critical challenge in multifunctional heterogeneous systems-on-chip is efficiently delivering and intelligently managing high quality dynamically controlled secure power to support power efficient and portable systems. To achieve efficient real-time multi-voltage power delivery and management, a systematic, scalable, and secure system is required. A fine grain power management framework comprising a variety of circuits, algorithms, and architectures is described in this chapter to control power routing and switching, while optimally allocating power among a variety of different power domains at run time. Stability, security, and design complexity are included within this framework.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Semiconductor Industry Association, The International Technology Roadmap for Semiconductors (2012), http://www.itrs.net

  2. W. Arden, M. Brillout, P. Cogez, M. Graef, B. Huizing, R. Mahnkopf, More-than-Moore (2010), http://www.itrs.net

  3. P. Cauvet, S. Bernard, M. Renovell, System-in-package, a combination of challenges and solutions, in Proceedings of the IEEE VLSI Test Symposium, pp. 193–199, May 2007

    Google Scholar 

  4. W. Wolf, A.A. Jerraya, G. Martin, Multiprocessor system-on-chip (MPSoC) technology. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 27(10), 1701–1713 (2008)

    Article  Google Scholar 

  5. V.F. Pavlidis, E.G. Friedman, Interconnect-based design methodologies for three-dimensional integrated circuits. Proc. IEEE 97, 123–140 (2009)

    Article  Google Scholar 

  6. V.F. Pavlidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design, Morgan Kaufmann (2009)

    Google Scholar 

  7. R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Kose, E.G. Friedman, Power Distribution Networks with On-Chip Decoupling Capacitors, 2nd edn. (Springer, 2011)

    Google Scholar 

  8. S. Kose, S. Tam, S. Pinzon, B. McDermott, E.G. Friedman, Active filter based hybrid on-chip DC-DC converters for point-of-load voltage regulation. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits, 21(4), 680–691 (2013)

    Google Scholar 

  9. S. Kose, E.G. Friedman, Distributed on-chip power delivery. IEEE J. Emerg. Sel. Topics Circuits Syst. 2(4), 704–713 (2012)

    Google Scholar 

  10. Y. Kanno et al., Hierarchical power distribution with 20 power domains in 90-nm low-power multi-CPU processor, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 540–541, February (2006)

    Google Scholar 

  11. T. Hattori et al., A power management scheme controlling 20 power domains for a single-chip mobile processor, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 542–543, February (2006)

    Google Scholar 

  12. Y. Kikuchi et al., A 40 nm 222 mW H.264 full-HD decoding, 25 power domains, 14-core application processor with x512b stacked DRAM. IEEE J. Solid-State Circuits 46(1), 32–41 (2011)

    Article  Google Scholar 

  13. D.N. Truong, W.H. Cheng, T. Mohsenin, Z. Yu, A.T. Jacobson, G. Landge, M.J. Meeuwsen, C. Watnik, A.T. Tran, Z. Xiao, E.W. Work, J.W. Webb, P.V. Mejia, B.M. Baas, A 167-processor computational platform in 65 nm CMOS. IEEE J. Solid-State Circuits 44(4), 1130–1144 (2009)

    Article  Google Scholar 

  14. S.Y. Borkar, Thousand core chips—a technology perspective, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 746–749, June 2007

    Google Scholar 

  15. D. Yeh, L.-S. Peh, S. Borkar, J. Darringer, A. Agarwal, W.-M. Hwu, Thousand-core chips [Roundtable]. IEEE Design Test Comput. 25(3), 272–278 (2008)

    Google Scholar 

  16. H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceedings of the ACM International Symposium on Computer Architecture, pp. 365–376, June 2011

    Google Scholar 

  17. I.P.-Vaisband, Power Delivery and Management in Nanoscale ICs, in Ph.D. Thesis (University of Rochester, May 2015)

    Google Scholar 

  18. I. Vaisband, B. Price, S. Kose, Y. Kolla, E.G. Friedman, J. Fischer, Distributed LDO regulators in a 28 nm power delivery system. Analog Integr. Circuits Signal Proces. 83(3), 295–309 (2015)

    Article  Google Scholar 

  19. S. Gangopadhyay, S.B. Nasir, A. Raychowdhury, Integrated power management in IoT devices under wide dynamic ranges of operation, in Proceedings of the IEEE/ACM Design Automation Conference, May 2015

    Google Scholar 

  20. I. Vaisband, M. Azhar, E.G. Friedman, S. Kose, Digitally controlled pulse width modulator for on-chip power management. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 22(12), 2527–2534 (2014)

    Google Scholar 

  21. P. Macken, M. Degrauwe, M.V. Paemel, H. Oguey, A voltage reduction technique for digital systems, inProceedings of the IEEE International Solid-State Circuits Conference, pp. 238–239, February 1990

    Google Scholar 

  22. Q. Wu, P. Juang, M. Martonosi, D.W. Clark, Voltage and frequency control with adaptive reaction time in multiple-clock domain processors, in Proceedings of the IEEE International Symposium on High Performance Computer Architecture, pp. 178–189, February 2005

    Google Scholar 

  23. C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, M. Martonosi, An analysis of efficient multi-core global power management policies: maximizing performance for a given power budget, in Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture, pp. 347–358, December 2006

    Google Scholar 

  24. M. Ware, K. Rajamani, M. Floyd, B. Brock, J.C. Rubio, F. Rawson, and J.B. Carter, architecting for power management: the IBM POWER7\(^\text{TM}\) approach, in Proceedings of the IEEE International Symposium on High Performance Computer Architecture, pp. 1–11, January 2010

    Google Scholar 

  25. J. Friedrich et al., The Power8\(^\text{ TM }\) processor: designed for big data, analytics, and cloud environments, in Proceedings of the IEEE International IC Design & Technology Conference, pp. 1–4, May 2014

    Google Scholar 

  26. M. Alioto, L. Giancane, G. Scotti, A. Trifiletti, Leakage power analysis attacks: a novel class of attacks to nanometer cryptographic circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 57(2), 355–367 (2010)

    Article  MathSciNet  Google Scholar 

  27. F. Waldron, J. Slowey, A. Alderman, B. Narveson, and S.C. O’Mathuna, Technology roadmapping for power supply in package (PSiP) and power supply on chip (PwrSoC), in Proceedings of the IEEE International Applied Power Electronics Conference and Exposition, pp. 525–532, February 2010

    Google Scholar 

  28. H.-P. Le, S.R. Sanders, E. Alon, Design techniques for fully integrated switched-capacitor DC-DC converters. IEEE J. Solid-State Circuits 46(9), 2120–2131 (2011)

    Article  Google Scholar 

  29. Y. Ramadass, A. Fayed, A. Chandrakasan, A fully-integrated switched-capacitor step-down DC-DC converter with digital capacitance modulation in 45 nm CMOS. IEEE J. Solid-State Circuits 45(12), 2557–2565 (2010)

    Article  Google Scholar 

  30. M. Bathily, B. Allard, F. Hasbani, A 200-MHz integrated buck converter with resonant gate drivers for an RF power amplifier. IEEE Trans. Pow. Electron. 27(2), 610–613 (2012)

    Article  Google Scholar 

  31. Y. Ahn, H. Nam, J. Roh, A 50-MHz fully integrated low-swing buck converter using packaging inductors. IEEE Trans. Pow. Electron. 27(10), 4347–4356 (2012)

    Article  Google Scholar 

  32. Y.-H. Lee, S.-C. Huang, S.-W. Wang, W.-C. Wu, P.-C. Huang, H.-H. Ho, Y.-T. Lai, K.-H. Chen, Power-tracking embedded buckboost converter with fast dynamic voltage scaling for the SoC system. IEEE Trans. Pow. Electron. 27(3), 1271–1282 (2012)

    Article  Google Scholar 

  33. H. Jia, J. Lu, X. Wang, K. Padmanabhan, Z.J. Shen, Integration of a monolithic buck converter power IC and bondwire inductors with ferrite epoxy glob cores. IEEE Trans. Pow. Electron. 26(6), 1627–1630 (2011)

    Article  Google Scholar 

  34. W. Yan, W. Li, R. Liu, A noise-shaped buck DCDC converter with improved light-load efficiency and fast transient response. IEEE Trans. Pow. Electron. 26(12), 3908–3924 (2011)

    Article  Google Scholar 

  35. L. Wang, Y. Pei, X. Yang, Y. Qin, Z. Wang, Improving Light and intermediate load efficiencies of buck converters with planar nonlinear inductors and variable on time control. IEEE Trans. Pow. Electron. 27(1), 342–353 (2012)

    Article  Google Scholar 

  36. H. Nam, Y. Ahn, J. Roh, 5-V buck converter using 3.3-V standard CMOS process with adaptive power transistor driver increasing efficiency and maximum load capacity. IEEE Trans. Pow. Electron. 27(1), 463–471 (2012)

    Article  Google Scholar 

  37. M. Wens, M.S.J. Steyaert, A fully integrated CMOS 800-mW fourphase semiconstant ON/OFF-Time step-down converter. IEEE Trans. Pow. Electron. 26(2), 326–333 (2011)

    Article  Google Scholar 

  38. J. Guo, K.N. Leung, A 6-\(\rm {\mu }\)W chip-area-efficient output-capacitorless LDO in 90-nm CMOS technology. IEEE J. Solid-State Circuits 45(9), 1896–1905 (2010)

    Article  Google Scholar 

  39. P. Hazucha et al., Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)

    Article  Google Scholar 

  40. T.Y. Man, K.N. Leung, C.Y. Leung, P.K.T. Mok, M. Chan, Development of single-transistor-control LDO based on flipped voltage follower for SoC. IEEE Trans. Circuits Syst. I Regul. Pap. 55(5), 1392–1401 (2008)

    Article  MathSciNet  Google Scholar 

  41. M. Al-Shyoukh, H. Lee, R. Perez, A Transient-enhanced low-quiescent current low-dropout regulator with buffer impedance attenuation. IEEE J. Solid-State Circuits 42(8), 1732–1742 (2007)

    Article  Google Scholar 

  42. V. Kursun, S.G. Narendra, V.K. De, E.G. Friedman, Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 11(3), 514–522 (2003)

    Google Scholar 

  43. I. Vaisband, E.G. Friedman, Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Trans. Pow. Electron. 28(9), 4267–4280 (2013)

    Article  Google Scholar 

  44. D.E. Lackey, P.S. Zuchowski, T.R. Bednar, D.W. Stout, S.W. Gould, J.M. Cohn, Managing power and performance for system-on-chip designs using voltage islands, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 195–202, November 2002

    Google Scholar 

  45. P. Magarshack, P.G. Paulin, System-on-chip beyond the nanometer Wall, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 419–424, June 2003

    Google Scholar 

  46. P. Kocher, J. Jaffe, B. Jun, Differential Power Analysis, in Proceedings of the International Conference on Advances in Cryptology, pp. 388–397, August 1999

    Google Scholar 

  47. L. Lin, W. Burleson, Leakage-based differential power analysis (LDPA) on sub-90 nm CMOS cryptosystems, in Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 252–255, May 2008

    Google Scholar 

  48. T. Martin, M. Hsiao, D.S. Ha, J. Krishnaswami, Denial-of-service attacks on battery-powered mobile computers, in Proceedings of the IEEE Annual Conference on Pervasive Computing and Communications, pp. 309–318, March 2004

    Google Scholar 

  49. H. Vahedi, R. Muresan, S. Gregori, On-chip current flattening circuit with dynamic voltage scaling, in Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 4277–4280, May 2006

    Google Scholar 

  50. K. Tiri, I. Verbauwhede, A digital design flow for secure integrated circuits. IEEE Trans. Comput. Aided Design Integr. Circuits Syst. 25(7), 1197–1208 (2006)

    Article  Google Scholar 

  51. R.A. Rutenbar, G.G.E. Gielen, J. Roychowdhury, Hierarchical modeling, optimization, and synthesis for system-level analog and RF designs. Proc. IEEE 95(3), 640–669 (2007)

    Article  Google Scholar 

  52. M. Popovich, M. Sotman, A. Kolodny, E.G. Friedman, Effective radii of on-chip decoupling capacitors. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits, 16(7), 894–907 (2008)

    Google Scholar 

  53. S.R. Nassif, Power grid analysis benchmarks, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 376–381, January 2008

    Google Scholar 

  54. I. Vaisband, E.G. Friedman, Power network on-chip for scalable power delivery, United States Patent (pending)

    Google Scholar 

  55. I. Vaisband, E.G. Friedman, Power network on-chip for scalable power delivery, in Proceedings of the Workshop on System Level Interconnect Prediction, pp. 1–5, June 2014

    Google Scholar 

  56. I. Vaisband, E.G. Friedman, Dynamic power management with power network-on-chip, in Proceeding of the IEEE International Conference on New Circuits and Systems, pp. 225–228, June 2014

    Google Scholar 

  57. J.H. Mulligan Jr., The effect of pole and zero locations on the transient response of linear dynamic systems. Proc. Inst. Radio Eng. 37(5), 516–529 (1949)

    Google Scholar 

  58. A. Riccobono, E. Santi, A novel passivity-based stability criterion (PBSC) for switching converter DC distribution systems, in Proceedings of the IEEE International Applied Power Electronics Conference and Exposition, pp. 2560–2567, February 2012

    Google Scholar 

  59. D.A. Johns, K. Martin, Analog Integrated Circuit Design (Wiley, 1997)

    Google Scholar 

  60. J.E. Colgate, The control of dynamically interacting systems, in Ph.D. Thesis, Massachusetts Institute of Technology, August 1988

    Google Scholar 

  61. J.L. Wyatt, L.O. Chua Jr., J. Goknar, I. Gannett, D. Green, Energy concepts in the state-space theory of nonlinear n-ports: part i-passivity. IEEE Trans. Circuits Syst. 28(1), 48–61 (1981)

    Google Scholar 

  62. O. Brune, Synthesis of a finite two-terminal network whose driving-point impedance is a prescribed function of frequency, in Ph.D. Thesis, Massachusetts Institute of Technology, August 1931

    Google Scholar 

  63. V. Kursun, E.G. Friedman, Multi-Voltage CMOS Circuit Design (Wiley, 2006)

    Google Scholar 

  64. O.A. Uzun, S. Kose, Converter-gating: a power efficient and secure on-chip power delivery system. IEEE J. Emerg. Sel. Top. Circuits Syst. 4(2), 169–179 (2014)

    Article  Google Scholar 

  65. S. Kose, E.G. Friedman, Efficient algorithms for fast IR drop analysis exploiting locality. Integr. VLSI J. 45(2), 149–161 (2012)

    Article  Google Scholar 

  66. N. Viswanathan et al., The ISPD-2011 routability-driven placement contest and benchmark suite, in Proceedings of the ACM International Symposium on Physical Design, pp. 141–146, March 2011

    Google Scholar 

  67. S. Kose, E.G. Friedman, Fast algorithms for IR voltage drop analysis exploiting locality, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 996–1001, June 2011

    Google Scholar 

  68. A. Brooke, D. Kendrick, A. Meeraus, GAMS: A User’s Guide (The Scientific Press, 1992)

    Google Scholar 

  69. G.A. Rincon-Mora, Current efficient, low voltage, low dropout regulators, in Ph.D. Thesis, Georgia Institute of Technology, November 1996

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Inna Partin-Vaisband .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Partin-Vaisband, I., Friedman, E.G. (2017). Secure Power Management and Delivery Within Intelligent Power Networks on-Chip. In: Eisenstein, G., Bimberg, D. (eds) Green Photonics and Electronics. NanoScience and Technology. Springer, Cham. https://doi.org/10.1007/978-3-319-67002-7_7

Download citation

Publish with us

Policies and ethics