Skip to main content

Part of the book series: Springer Theses ((Springer Theses))

  • 254 Accesses

Abstract

The integrated circuits (ICs) based on complementary metal-oxide-semiconductor (CMOS) devices are currently the dominant technology in the microelectronic industry. Its success is based on the low static power consumption and its high integration density.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J.E. Lilienfeld, Method and apparatus for controlling electric currents. US Patent 1745175 A (1930)

    Google Scholar 

  2. J.E. Lilienfeld, Device for controlling electric current. US Patent 1900018 A (1933)

    Google Scholar 

  3. J. Bardeen, W.H. Brattain, Three–electrode circuit element utilizing semiconductive materials. US Patent 2524035 A (1950)

    Google Scholar 

  4. W. Shockley, The path to the conception of the junction transistor. IEEE Trans. Electron Devices 23, 597 (1976)

    Article  ADS  Google Scholar 

  5. M.M. Atalla, Semiconductor devices having dielectric coatings. US Patent 3206670 A (1965)

    Google Scholar 

  6. D. Kahng, Electric field controlled semiconductor device. US Patent 3102230 A (1963)

    Google Scholar 

  7. W. Jacobi, Halbleiterverstärker. DE Patent 833366 C (1952)

    Google Scholar 

  8. J.S. Kilby, Miniaturized electronic circuits. US Patent 3138743 A (1964)

    Google Scholar 

  9. K. Lehovec, Multiple semiconductor assembly. US Patent 3029366 A (1962)

    Google Scholar 

  10. R.N. Noyce, Semiconductor device-and-lead structure. US Patent 2981877 A (1961)

    Google Scholar 

  11. J.A. Hoerni, Method of manufacturing semiconductor devices. US Patent 3025589 A (1962)

    Google Scholar 

  12. G. Moore, Cramming more components onto integrated circuits. Electronics 38, 114 (1965)

    Google Scholar 

  13. G. Moore, Progress in digital integrated electronics, in IEEE International Electron Device Meeting (IEDM), vol. 21 (1975), p. 11

    Google Scholar 

  14. F.M. Wanlass, Low stand-by power complementary field effect circuitry. US Patent 3356858 A (1967)

    Google Scholar 

  15. G.D. Wilk, R.M. Wallace, J.M. Anthony, High-κ gate dielectrics: Current status and materials properties considerations. J. Appl. Phys. 89, 5243 (2001)

    Article  ADS  Google Scholar 

  16. Y. Taur, D.A. Buchanan, W. Chen, D.J. Frank, K.E. Ismail, L.O. Shih-Hsien, G.A. Sai-Halasz, R.G. Viswanathan, H.J.C. Wann, S.J. Wind, H.S. Wong, CMOS scaling into the nanometer regime. Proc. IEEE 85, 486 (1997)

    Article  Google Scholar 

  17. C.A. Richter, A.R. Hefner, E.M. Vogel, A comparison of quantum-mechanical capacitance-voltage simulators. IEEE Electron Dev. Lett. 22, 35 (2001)

    Article  ADS  Google Scholar 

  18. J.R. Hauser, K. Ahmed, Characterization of ultra–thin oxides using electrical C–V and I–V measurements. AIP Conf. Proc. 449, 235 (1998)

    ADS  Google Scholar 

  19. International Technology Roadmap for Semiconductors (ITRS): www.itrs.net

  20. J. Robertson, High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2006)

    Article  ADS  Google Scholar 

  21. M. Houssa, L. Pantisano, L.-Å. Ragnarsson, R. Degraeve, T. Schram, G. Pourtois, S. De Gent, G. Groeseneken, M.M. Heyns, Electrical properties of high-κ gate dielectrics: challenges, current issues, and possible solutions. Mater. Sci. Eng. R 51, 37 (2006)

    Article  Google Scholar 

  22. H. Wong, H. Iwai, On the scaling of subnanometer EOT gate dielectrics for ultimate nano CMOS technology. Microelectron. Eng. 138, 57 (2015)

    Article  Google Scholar 

  23. H. Wong, H. Iwai, On the scaling issues and high-κ replacement of ultrathin gate dielectrics for nanoscale MOS transistors. Microelectron. Eng. 83, 1867 (2006)

    Article  Google Scholar 

  24. G. He, L. Zhu, Z. Sun. Q. Wan, L. Zhang, Integrations and challenges of novel high-k gate stacks in advanced CMOS technology. Prog. Mater. Sci. 56, 475 (2011)

    Google Scholar 

  25. D.J. Frank, Y. Taur, H.S.P. Wong, Generalized scale length for two-dimensional effects in MOSFETs. IEEE Electron Dev. Lett. 19, 385 (1998)

    Article  ADS  Google Scholar 

  26. T. Ando, Ultimate scaling of high-κ gate dielectrics: higher-κ of interfacial layer scavenging? Materials 5, 478 (2012)

    Article  ADS  Google Scholar 

  27. C. Auth, M. Buehler, A. Cappellani, C.H. Choi, G. Ding, W. Han, S. Joshi, B. McIntyre, M. Prince, P. Ranade, J. Sandford, C. Thomas, 45 nm high-k + metal gate strain-enhanced transistors. Intel Technol. J. 12, 77 (2008)

    Google Scholar 

  28. E.P. Gusev, V. Narayanan, M.M. Frank, Advanced high-κ dielectric stacks with polySi and metal gates: Recent progress and current challenges. IBM J. Res. Dev. 50, 387 (2006)

    Article  Google Scholar 

  29. G. He, L.D. Zhang, Current progress of Hf (Zr)-based high-k gate dielectric thin films. J. Mater. Sci. Technol. 23, 433 (2007)

    Article  Google Scholar 

  30. J. Robertson, Band offsets of wide-band-gap oxides and implications for future electronic devices. J. Vac. Sci. Technol. B 18, 1785 (2000)

    Article  Google Scholar 

  31. K.J. Hubbard, D.G. Schlom, Thermodynamic stability of binary oxides in contact with silicon. J. Mater. Res. 11, 2757 (1996)

    Article  ADS  Google Scholar 

  32. D.G. Schlom, J.H. Haeni, A thermodynamic approach to selecting alternative gate dielectrics. MRS Bull. 27, 198 (2002)

    Article  Google Scholar 

  33. M.H. Cho, Y.S. Roh, C.N. Whang, K. Jeong, S.W. Nahm, D.H. Ko, J.H. Lee, N.I. Lee, K. Fujihara, Thermal stability and structural characteristics of HfO2 films on Si (100) grown by atomic-layer deposition. Appl. Phys. Lett. 81, 472 (2002)

    Article  ADS  Google Scholar 

  34. S. Hall, O. Buiu, I.Z. Mitrovic, Y. Lu, W.M. Davey, Review and perspective of high-k dielectrics on silicon. J. Telecommun. Inf. Technol. 2, 33 (2007)

    Google Scholar 

  35. J.C.G. Bünzli, C. Piguet, Taking advantage of luminescent lanthanide ions. Chem. Soc. Rev. 34, 1048 (2005)

    Article  Google Scholar 

  36. M. Gasgnier, Rare-earth elements in permanent magnets and superconducting compounds and alloys (except new high Tc ceramics) as thin films, thin crystals and thinned bulk materials. J. Mater. Sci. 26, 1989 (1991)

    Article  ADS  Google Scholar 

  37. J. Pu, S.J. Kim, Y.S. Kim, B.J. Choc, Evaluation of gadolinium oxide as a blocking layer of charge-trap flash memory cell. Electrochem. Solid St. 11, H252 (2008)

    Article  Google Scholar 

  38. J.C. Wang, C.T. Lin, P.C. Chou, C.S. Lai, Gadolinium-based metal oxide for nonvolatile memory applications. Microelectron. Reliab. 52, 635 (2012)

    Article  Google Scholar 

  39. T.M. Pan, C.S. Liao, H.H. Hsu, C.L. Chen, J.D. Lee, K.T. Wang, J.C. Wang, Excellent frequency dispersion of thin gadolinium oxide high-k gate dielectrics. Appl. Phys. Lett. 87, 262908 (2005)

    Article  ADS  Google Scholar 

  40. M. Czernohorsky, E. Bugiel, H.J. Osten, A. Fissel, O. Kirfel, Impact of oxygen supply during growth on the electrical properties of crystalline Gd2O3 thin films on Si(001). Appl. Phys. Lett. 88, 152905 (2006)

    Article  ADS  Google Scholar 

  41. K. Xu, R. Ranjith, A. Laha, H. Parala, A.P. Milanov, R.A. Fischer, E. Bugiel, J. Feydt, S. Irsen, T. Toader, C. Bock, D. Rogalla, H.J. Osten, U. Kunze, A. Devi, Atomic layer deposition of Gd2O3 and Dy2O3: a study of the ALD characteristics and structural and electrical properties. Chem. Mater. 24, 651 (2012)

    Article  Google Scholar 

  42. P. Shekhter, A.R. Chaudhuri, A. Laha, S. Yehezkel, A. Shriki, H.J. Osten, M. Eizenberg, The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric. Appl. Phys. Lett. 105, 262901 (2014)

    Article  ADS  Google Scholar 

  43. J.A. Kittl, K. Opsomer, M. Popovici, N. Menou, B. Kaczer, X.P. Wang, C. Adelmann, M.A. Pawlak, K. Tomida, A. Rothschild, B. Govoreanu, R. Degraeve, M. Schaekers, M. Zahid, A. Delabie, J. Meersschaut, W. Polspoel, S. Clima, G. Pourtois, W. Knaepen, C. Detavernier, V.V. Afanas’ev, T. Blomberg, D. Pierreux, J. Swerts, P. Fischer, J.W. Maes, D. Manger, W. Vandervost, T. Conard, A. Franquet, P. Favia, H. Bender, B. Brijs, S. Van Elshocht, M. Jurczak, J. Van Houdt, D.J. Wouters, High–k dielectrics for future generation memory devices. Microelectron. Eng. 86, 1789 (2009)

    Google Scholar 

  44. J. Kwo, M. Hong, A.R. Kortan, K.L. Queeney, Y.J. Chabal, R.L. Opila Jr., D.A. Muller, S.N.G. Chu, B.J. Sapjeta, T.S. Lay, J.P. Mannaerts, T. Boone, H.W. Krautter, J.J. Krajewski, A.M. Sergnt, J.M. Rosamilia, Properties of high k gate dielectrics Gd2O3 and Y2O3 for Si. J. Appl. Phys. 89, 3929 (2001)

    Article  ADS  Google Scholar 

  45. M. Hong, J. Kwo, A.R. Kortan, J.P. Mannaerts, A.M. Sergent, Epitaxial cubic gadolinium oxide as a dielectric for gallium arsenide passivation. Science 283, 1897 (1999)

    Article  ADS  Google Scholar 

  46. J. Kwo, D.W. Murphy, M. Hong, J.P. Mannaerts, R.L. Opila, R.L. Masaitis, A.M. Sergent, Passivation of GaAs using gallium-gadolinium oxides. J. Vac. Sci. Technol. B 17, 1294 (1999)

    Article  Google Scholar 

  47. M. Hong, J. Kwo, S.N.G. Chu, J.P. Mannaerts, A.R. Kortan, H.M. Ng, A.Y. Cho, K.A. Anselm, C.M. Lee, J.I. Chyi, Single-crystal GaN/Gd2O3/GaN heterostructure. J. Vac. Sci. Technol., B 20, 1274 (2002)

    Article  Google Scholar 

  48. W.H. Chang, C.H. Lee, P. Chang, Y.C. Chang, Y.J. Lee, J. Kwo, C.C. Tsai, J.M. Hong, C.H. Hsu, M. Hong, High κ dielectric single-crystal monoclinic Gd2O3 on GaN with excellent thermal, structural, and electrical properties. J. Cryst. Growth 311, 2183 (2009)

    Article  ADS  Google Scholar 

  49. A.P. Milanov, K. Xu, A. Laha, E. Bugiel, R. Ranjith, D. Schwendt, H.J. Osten, H. Parala, R.A. Fischer, A. Devi, Growth of crystalline Gd2O3 thin films with a high-quality interface on Si(100) by low-temperature H2O-assisted atomic layer deposition. J. Am. Chem. Soc. 132, 36 (2010)

    Article  Google Scholar 

  50. D.O. Klenov, L.F. Edge, D.G. Schlom, S. Stemmer, Extended defects in epitaxial Sc2O3 films grown on (111) Si. Appl. Phys. Lett. 86, 051901 (2006)

    Article  ADS  Google Scholar 

  51. R.D. Shannon, Dielectric polarizabilities of ions in oxides and fluorides. J. Appl. Phys. 73, 348 (1993)

    Article  ADS  Google Scholar 

  52. P. de Rouffignac, A.P. Yousef, K.H. Kim, R.G. Gordon, ALD of scandium oxide from scandium Tris(N,N′-diisopropylacetamidinate) and water. Electrochem. Solid-State Lett. 9, F45 (2006)

    Article  Google Scholar 

  53. V.V. Afanas’ev, S. Shamuilia, M. Badylevich, A. Stesmans, L.F. Edge, W. Tian, D.G. Schlom, J.M.J. Lopes, M. Roeckerath, J. Schubert. Electronic structure of silicon interfaces with amorphous and epitaxial insulating oxides: Sc2O3, Lu2O3, LaLuO3. Microelectron. Eng. 84, 2278 (2007)

    Google Scholar 

  54. L. Nyns, J.G. Lisoni, G. Van den Bosch, S. Van Elshocht, J. Van Houdt, Atomic layer deposition of scandium-based oxides. Phys. Status Solidi A 211, 409 (2014)

    Article  ADS  Google Scholar 

  55. S.G. Lim, S. Kriventsov, T.N. Jackson, J.H. Haeni, D.G. Schlom, A.M. Balbashov, R. Uecker, P. Reiche, J.L. Freeouf, G. Lucovsky, Dielectric functions and optical bandgaps of high-K dielectrics for metal-oxide-semiconductor field-effect transistors by far ultraviolet spectroscopic ellipsometry. J. Appl. Phys. 91, 4500 (2002)

    Article  ADS  Google Scholar 

  56. V.V. Afanas’ev, A. Stesmans, C. Zhao, M. Caymax, T. Heeg, J. Schubert, Y. Jia, D.G. Schlom, G. Lucovsky, Band alignment between (100)Si and complex rare earth/transition metal oxides. Appl. Phys. Lett. 85, 5917 (2004)

    Google Scholar 

  57. C. Zhao, T. Witters, B. Brijs, H. Bender, O. Richards, M. Caymax, T. Heeg, J. Schubert, V.V. Afanas’ev, A. Stesmans, D.G. Schlom, Ternary rare-earth metal oxide high-k layers on silicon oxide. Appl. Phys. Lett. 86, 132903 (2005)

    Google Scholar 

  58. J.M.J. Lopes, E. Durgun Ozben, M. Roeckerath, U. Littmark, R. Luptak, St. Lenk, M. Luysberg, A. Besmehn, U. Breuer, J. Schubert, S. Mantl, Amorphous ternary rare-earth gate oxides for future integration in MOSFETs. Microelectron. Eng. 86, 1646 (2009)

    Google Scholar 

  59. C. Derks, K. Kuepper, M. Raekers, A.V. Postnikov, R. Uecker, W.L. Yang, M. Neumann, Band-gap variation in RScO3 (R = Pr, Nd, Sm, Eu, Gd, Tb, and Dy): X-ray absorption and O K-edge X-ray emission spectroscopies. Phys. Rev. B 86, 155124 (2012)

    Article  ADS  Google Scholar 

  60. M. Roeckerath, J.M.J. Lopes, E. Durgun Ozben, C. Sandow, S. Lenk, T. Heeg, J. Schubert, S. Mantl, Gadolinium scandate as an alternative gate dielectric in field effect transistors on conventional and strained silicon. Appl. Phys. A 94, 521 (2009)

    Google Scholar 

  61. J.G. Lisoni, L. Breuil, L. Nyns, P. Blomme, G. Van den Bosch, J. Van Houdt, High-k gadolinium and aluminum scandates for hybrid floating gate NAND flash. Microelectron. Eng. 109, 220 (2013)

    Article  Google Scholar 

  62. A. Schäfer, K. Rahmanizadeh, G. Bihlmayer, M. Luysberg, F. Wendt, A. Besmehng, A. Fox, M. Schnee, G. Niu, T. Schroeder, S. Mantl, H. Hardtdegen, M. Mikulics, J. Schubert, Polymorphous GdScO3 as high permittivity dielectric. J. Alloys Compd. 651, 514 (2015)

    Article  Google Scholar 

  63. M. Wagner, T. Heeg, J. Schubert, St. Lenk, S. Mantl, C. Zhao, M. Caymax, S. De Gent, Gadolinium scandate thin films as an alternative gate dielectric prepared by electron beam evaporation. Appl. Phys. Lett. 88, 172901 (2006)

    Google Scholar 

  64. K. Fröhlich, J. Fedor, I. Kostic, J. Manka, P. Ballo, Gadolinium scandate: next candidate for alternative gate dielectric in CMOS technology? J. Electr. Eng. 62, 54 (2011)

    Google Scholar 

  65. R.A. Minamisawa, M. Schmidt, E. Durgun Özben, J.M.J. Lopes, J.M. Hartmann, K.K. Bourdelle, J. Schubert, Q.T. Zhao, D. Buca, S. Mantl, High mobility strained Si0.5Ge0.5/SSOI short channel field effect transistors with TiN/GdScO3 gate stack. Microelectron. Eng. 88, 2955 (2011)

    Google Scholar 

  66. K. Cico, K. Huseková, M. Tapajna, D. Gregusová, R. Stoklas, J. Kuzmík, J.F. Carlin, N. Grandjean, D. Pogany, K. Fröhlich, Electrical properties of InAlN/GaN high electron mobility transistor with Al2O3, ZrO2, and GdScO3 gate dielectrics. J. Vac. Sci. Technol. B 29, 01A808 (2011)

    Article  Google Scholar 

  67. E. Josse, T. Skotnicki, Polysilicon gate with depletion- or metallic-gate with buried channel: what evil worse? IEEE International Electron Device Meeting (IEDM) (1999), p. 661

    Google Scholar 

  68. B. Yao, Z.B. Fang, Y.Y. Zhu, T. Ji, G. He, A model for the frequency dispersion of the high-k metal-oxide-semiconductor capacitance in accumulation. Appl. Phys. Lett. 100, 222903 (2012)

    Article  ADS  Google Scholar 

  69. S. Kaya, E. Yilmaz, A comprehensive study of the frequency-dependent electrical characteristics of Sm2O3 MOS capacitors. IEEE Trans. Electron Devices 62, 980 (2015)

    Google Scholar 

  70. L.Å. Ragnarsson, T. Chiarella, M. Togo, T. Schram, P. Absil, T. Hoffmann, Ultrathin EOT high-j/metal gate devices for future technologies: Challenges, achievements and perspectives (invited). Microelectron. Eng. 88, 1317 (2011)

    Article  Google Scholar 

  71. V. Narayanan, K. Maitra, B.P. Linder, V.K. Paruchuri, E.P. Gusev, P. Jamison, M.M. Frank, M.L. Steen, D. La Tulipe, J. Arnold, R. Carruthers, D.L. Lacey, E. Cartier, Process optimization for high electron mobility in nMOSFETs with aggressively scaled HfO2/metal stacks. IEEE Electron Devices Lett. 27, 591 (2006)

    Article  ADS  Google Scholar 

  72. H. Kim, P.C. McIntryre, C.O. Chui, K.C. Saraswat, S. Stemmer, Engineering chemically abrupt high-k metal oxide/silicon interfaces using an oxygen-gettering metal overlayer. J. Appl. Phys. 96, 3467 (2004)

    Article  ADS  Google Scholar 

  73. C. Choi, J.C. Lee, Scaling equivalent oxide thickness with flat band voltage (VFB) modulation using in situ Ti and Hf interposed in a metal/high-k gate stack. J. Appl. Phys. 108, 064107 (2010)

    Article  ADS  Google Scholar 

  74. K.I. Seo, D.I. Lee, P. Pianetta, H. Kim, K.C. Saraswat, P.C. McIntyre, Chemical states and electrical properties of a high-k metal oxide/silicon interface with oxygen-gettering titanium-metal-overlayer. Appl. Phys. Lett. 89, 142912 (2006)

    Article  ADS  Google Scholar 

  75. K. Nakajima, A. Fujiyoshi, Z. Ming, M. Suzuki, K. Kimura, In situ observation of oxygen gettering by titanium overlayer on HfO2/SiO2/Si using high-resolution Rutherford backscattering spectroscopy. J. Appl. Phys. 102, 064507 (2007)

    Article  ADS  Google Scholar 

  76. T. Ando, M.M. Frank, K. Choi, C. Choi, J. Bruley, M. Hopstaken, R. Haignt, M. Copel, H. Arimura, H. Watanabe, V. Narayanan, Ultimate EOT scaling (<5 Ǻ) using Hf-based high-κ gate dielectrics and impact on carrier mobility. ECS Trans. 28, 115 (2010)

    Google Scholar 

  77. T. Ando, C. Choi, M.M. Frank, V. Narayanan, Scavenging metal stack for a high-k gate dielectric. US Patent 7989902 B2 (2011)

    Google Scholar 

  78. A. Nichau, A. Schäfer, L. Knoll, S. Wirths, T. Schram, L.Å. Ragnarsson, J. Schubert, P. Bernardy, M. Luysberg, A. Besmehn, U. Breuer, D. Buca, S. Mantl, Reduction of silicon dioxide interfacial layer to 4.6 Å EOT by Al remote scavenging in high-κ/metal gate stacks on Si. Microelectron. Eng. 109, 109 (2013)

    Article  Google Scholar 

  79. F. Cerbu, A.P.D. Nguyen, J. Kepa, V.V. Afanas’ev, A. Stesmans, Generation of Si dangling bond defects at Si/insultator interfaces induced by oxygen scavenging. Phys. Status Solidi B 251, 2193 (2014)

    Google Scholar 

  80. T.Y. Hoffman, Integrating high-k/metal gates: gate-first or gate-last? Solid State Technol. 53, 20 (2010)

    Google Scholar 

  81. J.A. del Alamo, Nanometre-scale electronics with III–V compound semiconductors. Nature 479, 317 (2011)

    Article  ADS  Google Scholar 

  82. C.H. Lee, T. Nishimura, N. Saido, K. Nagashio, K. Kita, A. Toriumi, Record-high electron mobility in Ge n-MOSFETs exceeding Si universality, in IEEE International Electron Device Meeting (IEDM) (2009), p. 457

    Google Scholar 

  83. R. Xie, T.H. Phung, W. He, M. Yu, C. Zhu, Interface-engineered high-mobility high-k/Ge pMOSFETs with 1-nm equivalent oxide thickness. IEEE Trans. Electron Devices 56, 1330 (2009)

    Google Scholar 

  84. Y.C. Byun, C.H. An, J.Y. Choi, C.Y. Kim, M.H. Cho, H. Kim, Interfacial self-cleaning during PEALD HfO2 process on GaAs using TDMAH/O2 with different (NH4)2S cleaning time. J. Electrochem. Soc. 158, G141 (2011)

    Article  Google Scholar 

  85. T. Das, C. Mahata, C.K. Maiti, G.K. Dalapati, C.K. Chia, D.Z. Chi, S.Y. Chiam, H.L. Seng, C.C. Tan, H.K. Hui, G. Sutradhar, P.K. Bose, Sputter-deposited La2O3 on p-GaAs for gate dielectric applications. J. Electrochem. Soc. 159, G15 (2012)

    Article  Google Scholar 

  86. Y.C. Lin, M.L. Huang, C.Y. Chen, M.K. Chen, H.T. Lin, P.Y. Tsai, C.H. Lin, H.C. Chang, T.L. Lee, C.C. Lo, S.M. Jang, C.H. Diaz, H.Y. Hwang, Y.C. Sun, E.Y. Chang, Low interface trap density Al2O3/In0.53Ga0.47As MOS capacitor fabricated on MOCVD-grown InGaAs epitaxial layer on Si substrate. Appl. Phys. Express 7, 041202 (2014)

    Article  ADS  Google Scholar 

  87. Y.Q. Wu, Y. Xuan, T. Shen, P.D. Ye, Z. Cheng, A. Lochtefeld, Enhancement-mode InP n-channel metal-oxide-semiconductor field effect transistors with atomic-layer-deposited Al2O3 dielectrics. Appl. Phys. Lett. 91, 022108 (2007)

    Article  ADS  Google Scholar 

  88. H.S. Kim, I. Ok, M. Zhang, F. Zhu, S. Park, J. Yum, H. Zhao, J.C. Lee, P. Majhi, HfO2-based InP n-channel metal-oxide-semiconductor field-effect transistors and metal-oxide-semiconductor capacitors using a germanium interfacial passivation layer. Appl. Phys. Lett. 93, 102906 (2008)

    Article  ADS  Google Scholar 

  89. H. Dong, W. Cabrera, X. Qin, B. Brennan, D. Zhernokletov, C.L. Hinkle, J. Kim, Y.J. Chabal, R.M. Wallace, Silicon interfacial passivation layer chemistry for high-k/InP interfaces. ACS Appl. Mater. Interfaces 6, 7340 (2014)

    Article  Google Scholar 

  90. R. Engel-Herbert, Y. Hwang, S. Stemmer, Comparison of methods to quantify interface trap densities at dielectric/III–V semiconductor interfaces. J. Appl. Phys. 108, 124101 (2010)

    Article  ADS  Google Scholar 

  91. M. Radosavljevic, G. Dewey, J.M. Fastenau, J. Kavalieros, R. Kotlyar, B. Chu-Kung, W.K. Liu, D. Lubyshev, M. Metz, K. Millard, N. Mukherjee, L. Pan, R. Pillarisetty, W. Rachmady, U. Shah, R. Chau, Non-planar, multi-gate InGaAs quantum well field effect transistors with high-K gate dielectric and ultra-scaled gate-to-drain/gate-to-source separation for low power logic applications, in IEEE International Electron Device Meeting (IEDM) (2010), p. 126

    Google Scholar 

  92. J. Lin, T.W. Kim, D.A. Antoniadis, J.A. Del Alamo, A self-aligned InGaAs quantum-well metal-oxide-semiconductor field-effect transistors fabricated through a lift-off-free front-end process. Appl. Phys. Express 5, 064002 (2012)

    Article  ADS  Google Scholar 

  93. S. Kar, High permittivity gate dielectric materials (Springer, Heidelberg, 2013)

    Book  Google Scholar 

  94. S.M. Rossnagel, J.J. Cuomo, W.D. Westwood, Handbook of Plasma Processing Technology: Fundamentals, Etching, Deposition, and Surface Interactions (Noyes Publications, Mill Road, Park Ridge, New Jersey, 1990)

    Google Scholar 

  95. S. Wolf, Microchip Manufacturing (Lattice Press, Sunset Beach, California, 2004)

    Google Scholar 

  96. W. Umrath, Fundamentals of Vacuum Technology (Leybold, Cologne, 1998)

    Google Scholar 

  97. U. Poppe, J. Schubert, R.R. Arons, W. Evers, C.H. Freiburg, W. Reichert, K. Schmidt, W. Sybertz, K. Urban, Direct production of crystalline superconducting thin films of YBa2Cu3O7 by high-pressure oxygen sputtering. Solid State Commun. 66, 661 (1988)

    Article  ADS  Google Scholar 

  98. U. Poppe, P. Prieto, J. Schubert, H. Soltner, K. Urban, Epitaxial multilayers of YBa2Cu3O7 and PrBa2Cu3O7 as a possible basis for superconducting electronic devices. Solid State Commun. 71, 569 (1989)

    Article  ADS  Google Scholar 

  99. U. Poppe, N. Klein, U. Dähne, H. Soltner, C.L. Jia, B. Kabius, K. Urban, A. Lubig, K. Schmidt, S. Hensen, S. Orbach, G. Müller, H. Piel, Low-resistivity epitaxial YBa2Cu3O7 thin films with improved microstructure and reduced microwave losses. J. Appl. Phys. 71, 5572 (1992)

    Article  ADS  Google Scholar 

  100. E. San Andrés Serrano, Fabricación y caracterización de dieléctricos de alta permitividad para su aplicación como aislantes de puerta en dispositivos MIS. Ph.D. dissertation, Universidad Complutense de Madrid, Madrid (2004)

    Google Scholar 

  101. M. Toledano-Luque, Growth and characterization of high-k dielectrics for field effect devices. Ph.D. dissertation, Universidad Complutense de Madrid, Madrid (2008)

    Google Scholar 

  102. P.C. Feijoo Guerro, High permittivity dielectrics for next generatios of integrated circuits. Ph.D. dissertation, Universidad Complutense de Madrid, Madrid (2013)

    Google Scholar 

  103. E. San Andrés, M. Toledano-Luque, A. del Prado, M.A. Navacerrada, I. Mártil, G. González-Díaz, W. Bohne, J. Röhrich, Physical properties of high pressure reactively sputtered TiO2. J. Vac. Sci. Technol. A 23, 1253 (2005)

    Google Scholar 

  104. S. Dueñas, H. Castán, H. García, E. San Andrés, M. Toledano-Luque, I. Mártil, G. González-Díaz, K. Kukli, T. Uustare, J. Aarik, A comparative study of the electrical properties of TiO2 films grown by high-pressure reactive sputtering and atomic layer deposition. Semicond. Sci. Technol. 20, 1044 (2005)

    Google Scholar 

  105. M. Toledano-Luque, M.L. Lucía, A. del Prado, E. San Andrés, I. Mártil, G. González-Díaz, Optical spectroscopic study of the SiN/HfO2 interfacial formation during rf sputtering of HfO2. Appl. Phys. Lett. 91, 191502 (2007)

    Google Scholar 

  106. M. Toledano-Luque, E. San Andrés, A. del Prado, I. Mártil, M.L. Lucía, G. González-Díaz, F.L. Martínez, W. Bohne, J. Röhrich, E. Strub, High-pressure reactively sputtered HfO2: composition, morphology, and optical properties. J. Appl. Phys. 102, 044106 (2007)

    Google Scholar 

  107. P.C. Feijoo, A. del Prado, M. Toledano-Luque, E. San Andrés, M.L. Lucía, Scandium oxide deposited by high-pressure sputtering for memory devices: physical and interfacial properties. J. Appl. Phys. 107, 084505 (2010)

    Google Scholar 

  108. P.C. Feijoo, M.A. Pampillón, E. San Andrés, M.L. Lucía, Optimization of scandium oxide growth by high pressure sputtering on silicon. Thin Solid Films 526, 81 (2012)

    Google Scholar 

  109. P.C. Feijoo, M.A. Pampillón, E. San Andrés, Optimization of gadolinium oxide growth deposited on Si by high pressure sputtering. J. Vac. Sci. Technol. B 31, 01A103 (2013)

    Google Scholar 

  110. P.C. Feijoo, M.A. Pampillón, E. San Andrés, J.L.G. Fierro, Gadolinium scandate by high-pressure sputtering for future generations of high-κ dielectrics. Semicond. Sci. Technol. 28, 085004 (2013)

    Google Scholar 

  111. P.C. Feijoo, M.A. Pampillón, E. San Andrés, J.L.G. Fierro, Nano-laminate vs. direct deposition of high permittivity gadolinium scandate on silicon by high pressure sputtering. Thin Solid Films 593, 62 (2015)

    Google Scholar 

  112. V.M. Mukhortov, Y.I. Golovko, G.N. Tolmachev, A.N. Klevtzov, The synthesis mechanism of complex oxide films formed in dense rf-plasma by reactive sputtering of stoichiometric targets. Ferroelectrics 247, 75 (2000)

    Article  Google Scholar 

  113. D.J. Frank, R.H. Dennard, E. Nowak, P.M. Solomon, Y. Taur, H.S.P. Wong, Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 89, 259 (2001)

    Article  Google Scholar 

  114. X. Huang, W.C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.K. Choi, K. Asano, V. Subramanian, T.J. King, J. Bokor, C. Hu, Sub 50-nm FinFET: PMOS, in IEEE International Electron Devices Meeting (IEDM) (1999), p. 67

    Google Scholar 

  115. D. Hisamoto, W.C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.J. King, J. Bokor, C. Hu, FinFET-a self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Devices 47, 2320 (2000)

    Article  ADS  Google Scholar 

  116. B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C.Y. Yang, C. Tabery, C. Ho, Q. Xiang, T.J. King, J. Bokor, C. Hu, M.R. Lin, D. Kyser, FinFET scaling to 10 nm gate length, in IEEE International Electron Devices Meeting (IEDM) (2002), p. 251

    Google Scholar 

  117. R.S. Chau, B.S. Doyle, J. Kavalieros, D. Barlage, S.D. Scott, A. Hareland, Tri-gate devices and methods of fabrication. US Patent 6858472 B2 (2005)

    Google Scholar 

  118. I. Ferain, C.A. Colinge, J.P. Colinge, Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transistors. Nature 479, 310 (2011)

    Article  ADS  Google Scholar 

  119. B.S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A. Murthy, R. Rios, R. Chau, High performance fully-depleted tri-gate CMOS transistors. IEEE Electron Device Lett. 24, 263 (2003)

    Article  ADS  Google Scholar 

  120. M. Bohr, K. Mistry, Intel’s revolutionary 22 nm transistor technology. Intel (2011)

    Google Scholar 

  121. Y.Q. Wu, M. Xu, R.S. Wang, O. Koybasi, P. D. Ye, High performance deep-submicron inversion-mode InGaAsMOSFETs with maximum Gm exceeding 1.1 mS/µm: new HBr pretreatment and channel engineering, in IEEE Internation Electron Devices Meeting (IEDM) (2009), p. 323

    Google Scholar 

  122. M. Radosavljevic, G. Dewey, J.M. Fastenau, J. Kavalieros, R. Kotlyar, B. Chu-Kung, W.K. Liu, D. Lubyshev, M. Metz, K. Millard, N. Mukherjee, L. Pan, R. Pillarisetty, W. Rachmady, U. Shah, R. Chau, Non-planar, multi-gate InGaAs quantum well field-effect transistors with high-κ gate dielectric and ultra-scaled gate-to-drain/gate-to-source separation for low power logic applications, in IEEE International Electron Devices Meeting (IEDM) (2010), p. 126

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to María Ángela Pampillón Arce .

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Pampillón Arce, M.Á. (2017). Introduction. In: Growth of High Permittivity Dielectrics by High Pressure Sputtering from Metallic Targets. Springer Theses. Springer, Cham. https://doi.org/10.1007/978-3-319-66607-5_1

Download citation

Publish with us

Policies and ethics