Skip to main content

HLS-Based FPGA Acceleration of Building-Cube Stencil Computation

  • Conference paper
  • First Online:
Complex, Intelligent, and Software Intensive Systems (CISIS 2017)

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 611))

Included in the following conference series:

Abstract

This paper presents design and implementation of a framework for high-level synthesis (HLS), which allows easy description and acceleration of stencil computation with building-cube method (BCM) on FPGAs. The BCM is one of adaptive mesh refinement methods, which can reduce computational costs by using various granularity of cubes depending on computational precision required by target models. By placing some restrictions on size ratios between adjacent cubes, the BCM offers affinity to parallel processing. However, non-continuous memory access imposed by the irregular cubes does not straightforwardly match with stream processing on FPGA accelerators. To fill this gap, we design and implement a BCM framework as a class library on a high-level synthesis environment. The framework automatically generates mechanisms required for the BCM, such as reordering modules of data streams and data interpolation hardware between different cubes. The proposed framework is evaluated in terms of computing performance, memory performance and required hardware resources on a Maxeler Technologies FPGA accelerator. The results reveal that a performance overhead of data exchange between different sizes of cubes is reasonably small.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Datta, K., Murphy, M., Volkov, V., Williams, S., Carter, J., Oliker, L., Patterson, D., Shalf, J., Yelick, K.: Stencil computation optimization and auto-tuning on state-of-the-art multicore architectures. In: Proceedings of ACM/IEEE Conference on Supercomputing (SC), pp. 4:1–4:12 (2008)

    Google Scholar 

  2. Giefers, H., Plessl, C., Förstner, J.: Accelerating finite difference time domain simulations with reconfigurable dataflow computers. ACM SIGARCH Comput. Archit. News 41(5), 65–70 (2013)

    Article  Google Scholar 

  3. Hiroe, Y., Takehiko, S.: Non-hydrostatic atmospheric cut cell model on a block-structured mesh. Atmos. Sci. Lett. 13(1), 29–35 (2012)

    Article  Google Scholar 

  4. Ishida, T., Takahashi, S., Nakasashi, K.: Efficient and robust Cartesian mesh generation for building-cube method. J. Comput. Sci. Technol. 2(4), 435–446 (2008)

    Article  Google Scholar 

  5. Kim, L.S., Nakahashi, K., Jeong, H.K., Ha, M.Y.: High-density mesh flow computations by building-cube method. J. Mech. Sci. Technol. 21(8), 1306–1319 (2007)

    Article  Google Scholar 

  6. Mencer, O.: ASC: a stream compiler for computing with FPGAs. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 25(9), 1603–1617 (2006)

    Article  Google Scholar 

  7. Okina, K., Soejima, R., Fukumoto, K., Shibata, Y., Oguri, K.: Power performance profiling of 3-d stencil computation on an FPGA accelerator for efficient pipeline optimization. SIGARCH Comput. Archit. News 43(4), 9–14 (2016)

    Article  Google Scholar 

  8. Sano, K.: FPGA-based systolic computational-memory array for scalable stencil computations. In: High-Performance Computing Using FPGAs, pp. 279–303. Springer (2013)

    Google Scholar 

  9. Sano, K., Chiba, R., Ueno, T., Suzuki, H., Ito, R., Yamamoto, S.: FPGA-based custom computing architecture for large-scale fluid simulation with building cube method. ACM SIGARCH Comput. Archit. News 42(4), 45–50 (2014)

    Article  Google Scholar 

  10. Sato, Y., Inoguchi, Y., Luk, W., Nakamura, T.: Evaluating reconfigurable dataflow computing using the Himeno benchmark. In: Proceedings of International Conference on Reconfigurable Computing and FPGAs (ReConFig), pp. 1–7 (2012)

    Google Scholar 

  11. Schneider, S., Hirzel, M., Burga, G., Wu, K.K.: Safe data parallelism for general streaming. IEEE Trans. Comput. 64(2), 504–517 (2015)

    Article  MathSciNet  MATH  Google Scholar 

  12. Soejima, R., Okina, K., Dohi, K., Shibata, Y., Oguri, K.: A memory profiling framework for stencil computation on an FPGA accelerator with high level synthesis. ACM SIGARCH Comput. Archit. News 42(4), 69–74 (2014)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yuichiro Shibata .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this paper

Cite this paper

Soejima, R., Shibata, Y., Oguri, K. (2018). HLS-Based FPGA Acceleration of Building-Cube Stencil Computation. In: Barolli, L., Terzo, O. (eds) Complex, Intelligent, and Software Intensive Systems. CISIS 2017. Advances in Intelligent Systems and Computing, vol 611. Springer, Cham. https://doi.org/10.1007/978-3-319-61566-0_42

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-61566-0_42

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-61565-3

  • Online ISBN: 978-3-319-61566-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics