Skip to main content

Experimental Evaluations and Discussion

  • Chapter
  • First Online:
Energy Efficient Embedded Video Processing Systems

Abstract

The experimental evaluation of the techniques presented in Chaps. 4 and 5 are discussed in this chapter. In the previous chapters, we have already included the sensitivity analysis of the individual parts within the algorithmic and architectural details, whenever deemed useful. Here, the main results and comparison with other state-of-the-art techniques are presented, to provide an overview to the reader about gains and drawbacks of these techniques. Major emphasis of the results is video encoding, specifically H.264/AVC and HEVC video encoders. It must also be noted that these encoders have much more modules and higher complexity than many benchmark applications available in Parsec [1], MediaBench [2], Cosmic [3], and MiBench [4] benchmark suites.

The authors would like to point out that this work was carried out when all the authors were in Department of Computer Science, Karlsruhe Institute of Technology, Karlsruhe, Germany.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Bienia, C. (2011). Benchmarking modern multiprocessors. Princeton University.

    Google Scholar 

  2. Fritts, J. MediaBench II. [Online]. Available: http://euler.slu.edu/~fritts/mediabench/. Accessed 6 Oct 2015.

  3. Wang, Z., Liu, W., Xu, J., Li, B., Iyer, R., Illikkal, R., Wu, X., Mow, W. H., & Ye, W. (2014). A case study on the communication and computation behaviors of real applications in noc-based MPSoCs. In Annual Symposium on VLSI.

    Google Scholar 

  4. Guthaus, M. R., Ringenberg, J. S., Ernst, D., Austin, T. M., Mudge, T., & Brown, R. B. (2001). MiBench: A free, commercially representative embedded benchmark suite. In International Workshop on Workload Characterization (WWC).

    Google Scholar 

  5. POSIX Threads for Windows – REFERENCE - Pthreads-w32. sourceware.org, [Online]. Available: https://sourceware.org/pthreads-win32/manual/. Accessed 6 Oct 2015.

  6. HEVC reference software. Fraunhofer Institute, [Online]. Available: https://hevc.hhi.fraunhofer.de/svn/svn_HEVCSoftware/. Accessed 29 Aug 2013.

  7. HEVC x265 encoder. Google Code, [Online]. Available: https://code.google.com/p/x265. Accessed 29 Aug 2013.

  8. 4×Six-Core AMD Opteron processor. [Online]. Available: http://www.amd.com/en-us/products/server/benchmarks/sap-sd-two-tier-four-socket. Accessed 08 Sept 2014.

  9. Carlson, T., Heirman, W., & Eeckhout, L. (2011). Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In SC.

    Google Scholar 

  10. Li, S., Ahn, J. H., Strong, R., Brockman, J., Tullsen, D., & Jouppi, N. (2009). McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Microarchitecture.

    Google Scholar 

  11. Ma, K., Li, X., Chen, M., & Wang, X. (2011). Scalable power control for many-core architectures running multi-threaded applications. In Internation Symposium on Computer Architecture.

    Google Scholar 

  12. Sharifi, A., Mishra, A., Srikantaiah, S., Kandemir, M., & Das, C. R. (2012). PEPON: Performance-aware hierarchical power budgeting for NoC based multicores. In Parallel Architectures and Compilation Techniques.

    Google Scholar 

  13. Bjontegaard, G. (2001). Calculation of average PSNR differences between RD-curves. VCEG Contribution VCEG-M33.

    Google Scholar 

  14. Rosas, C. Morajko, A. Jorba, J., & Cesar, E. (2011). Workload balancing methodology for data-intensive applications with divisible load. In Symposium on Computer Architecture and High Performance Computing.

    Google Scholar 

  15. Colin, A., Kandhalu, A., & Rajkumar, R. (2015). Energy-efficient allocation of real-time applications onto single-ISA heterogeneous multi-core processors. Journal of Signal Processing Systems, pp. 1–20.

    Google Scholar 

  16. Cesar, E., Moreno, A., Sorribes, J., & Luque, E. (2006). Modeling Master/Worker applications for automatic performance tuning. Parallel Computing, 32(7), 568–589.

    Article  Google Scholar 

  17. Esmaeilzadeh, H., Blem, E., Amant, R., Sankaralingam, K., & Burger, D. (2011). Dark silicon and the end of multicore scaling. In International Symposium on Computer Architecture.

    Google Scholar 

  18. ITRS. (2011). International technology roadmap for semiconductors, 2010 update.

    Google Scholar 

  19. Khan, M. U. K., Shafique, M., & Henkel, J. (2014). Software architecture of high efficiency video coding for many-core systems with power-efficient workload balancing. In Design, Automation and Test in Europe.

    Google Scholar 

  20. Dong, X., Wu, X., Sun, G., Xie, Y., Li, H., & Chen, Y. (2008). Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Design Automation Conference (DAC).

    Google Scholar 

  21. Bossen, F. (2012). Common test conditions. Joint Collaborative Team on Video Coding (JCT-VC) Doc. I1100.

    Google Scholar 

  22. Taiwan Semiconductor Manufacturing Company Limited. TSMC, [Online]. Available: http://www.tsmc.com/. Accessed 7 Oct 2015.

  23. Design Compiler. Synopsys, [Online]. Available: http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DesignCompiler/. Accessed 7 Oct 2015.

  24. ModelSim – Leading Simulation and Debugging. Mentor Graphics, [Online]. Available: http://www.mentor.com/products/fpga/model/. Accessed 7 Oct 2015.

  25. Khan, M. U. K., Shafique, M., & Henkel, J. CES Free Software – EnAAM, Chair for Embedded Systems (CES), KIT, [Online]. Available: ces.itec.kit.edu/EnAAM/. Accessed 5 Oct 2015.

    Google Scholar 

  26. Joint Collaborative Team on Video Coding (JCT-VC), ITU, [Online]. Available: http://www.itu.int/en/ITU-T/studygroups/2013-2016/16/Pages/video/jctvc.aspx. Accessed 7 Oct 2015.

  27. Video Library and Tools – NSL. Network Systems Lab, [Online]. Available: https://cs-nsl-wiki.cs.surrey.sfu.ca/wiki/Video_Library_and_Tools. Accessed 7 Oct 2015.

  28. Amrouch, H., Ebi, T., & Henkel, J. (2013). Stress balancing to mitigate NBTI Effects in register files. In Dependable Systems and Networks (DSN).

    Google Scholar 

  29. Shin, J., Zyuban, V., Bose, P., & Pinkston, T. (2008). A proactive wearout recovery approach for exploiting microarchi-tectural redundancy to extend cache SRAM lifetime. In International Symposium on Computer Architecture (ISCA).

    Google Scholar 

  30. Siddiqua, T., & Gurumurthi, S. (2010). Recovery boosting: A technique to enhance NBTI recovery in SRAM arrays. In Annual Symposium on VLSI.

    Google Scholar 

  31. Sil, A., Ghosh, S., Gogineni, N., & Bayoumi, M. (2008). A novel high write speed, low power, read-SNM-Free 6T SRAM cell. In Midwest Symposium on Circuits and Systems.

    Google Scholar 

  32. Wang, S., Jin, T., Zheng, C., & Duan, G. (2012). Low power aging-aware register file design by duty cycle balancing. In Design, Automation and Test in Europe (DATE).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Khan, M.U.K., Shafique, M., Henkel, J. (2018). Experimental Evaluations and Discussion. In: Energy Efficient Embedded Video Processing Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-61455-7_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-61455-7_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-61454-0

  • Online ISBN: 978-3-319-61455-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics