Skip to main content

Novel Bitcells and Assist Techniques for NTV GC-eDRAMs

  • Chapter
  • First Online:
Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip

Abstract

This chapter presents novel gain-cell based embedded dynamic random-access memory (GC-eDRAM) bitcells and assist techniques specifically designed to enhance the data retention time for operation at near-threshold voltage (NTV). First, a 3-transistor (3T) gain-cell (GC) using a full transmission gate (TG) write port is presented. This full TG 3T GC bitcell allows fast write operations as well as memory operation at a single supply voltage, whereas conventional 2-transistor (2T) GC-eDRAMs require a write word-line (WWL) boost in addition to the main supply voltage. Furthermore, the full TG 3T GC bitcell enables strong initial data levels on the storage node (SN) for extended retention times. Next, the impact of body biasing on a 3T GC-eDRAM is analyzed. Silicon measurements of a test array implemented in 0.18 μm CMOS technology show that reverse body biasing (RBB) can significantly extend the retention time. Finally, as an assist technique to reduce accumulated pessimism from assuming worst-case process, voltage, temperature (PVT) conditions and write disturb activities, a replica technique for optimum refresh timing is presented, and its effectiveness is demonstrated through silicon measurements.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    In an extremely unlikely case this calibration would be insufficient. This would happen if a continuous write “1” operation was applied to a column with a bitcell with worse retention time than the worst replica cell. However, this scenario would hardly ever occur in any real application. Otherwise, it is still possible to impose a write access policy to the array, which, for example, allows to write to the array only every second clock cycle. In addition, to avoid such a write access policy, it is possible to limit the WBL pulse time for the storage array, while using a pulse width equal to a full clock cycle for the replica columns.

  2. 2.

    The victim address will always store 0xFFFFFFFF, and therefore is not considered for comparison with expected responses.

References

  1. Chun KC, Jain P, Lee JH, Kim C (2011) A 3T gain cell embedded DRAMutilizing preferential boosting for high density and low power on-die caches. IEEE J Solid State Circuits 46(6): 1495–1505

    Article  Google Scholar 

  2. Chun KC, Jain P, Kim TH, Kim C (2012a) A 667 MHz logic-compatible embedded DRAM featuring an asymmetric 2T gain cell for high speed on-die caches. IEEE J Solid State Circuits 47(2):547–559. doi:10.1109/JSSC.2011.2168729

    Google Scholar 

  3. Chun KC, Zhang W, Jain P, Kim C (2012b) A 2T1C embedded DRAM macro with no boosted supplies featuring a 7T SRAM based repair and a cell storage monitor. IEEE J Solid State Circuits 47(10):2517–2526

    Google Scholar 

  4. Ghosh S, Roy K (2010) Parameter variation tolerance and error resiliency: new design paradigm for the nanoscale era. Proc IEEE 98(10):1718–1751

    Article  Google Scholar 

  5. Iqbal R, Meinerzhagen P, Burg A (2012) Two-port low-power gain-cell storage array: voltage scaling and retention time. In: Proceedings of the IEEE international symposium on circuits and systems (ISCAS), pp 2469–2472

    Google Scholar 

  6. Lee Y, Chen MT, Park J, Sylvester D, Blaauw D (2010) A 5.42 nW/kB retention power logic-compatible embedded DRAM with 2T dual-VT gain cell for low power sensing applications. In: Proceedings of the IEEE Asian solid state circuits conference (A-SSCC), pp 1–4

    Google Scholar 

  7. Meinerzhagen P, Teman A, Mordakhay A, Burg A, Fish A (2012) A sub-VT 2T gain-cell memory for biomedical applications. In: Proceedings of the IEEE subthreshold microelectronics conference (SubVT), pp 1–3. doi:10.1109/SubVT.2012.6404318

    Google Scholar 

  8. Meinerzhagen P, Teman A, Burg A, Fish A (2013a) Impact of body biasing on the retention time of gain-cell memories. IET J Eng 1:1–4

    Google Scholar 

  9. Meinerzhagen P, Teman A, Giterman R, Burg A, Fish A (2013b) Exploration of sub-VT and near-VT 2T gain-cell memories for ultra-low power applications under technology scaling. J Low Power Electron Appl 3(2):54–72. doi:10.3390/jlpea3020054

    Google Scholar 

  10. Raychowdhury A, Geuskens B, Kulkarni J, Tschanz J, Bowman K, Karnik T, Lu SL, De V, Khellah M (2010) PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 352–353

    Google Scholar 

  11. Somasekhar D, Ye Y, Aseron P, Lu SL, Khellah M, Howard J, Ruhl G, Karnik T, Borkar S, De V, Keshavarzi A (2009) 2 GHz 2 Mb 2T gain cell memory macro with 128 GBytes/sec bandwidth in a 65 nm logic process technology. IEEE J Solid State Circuits 44(1):174–185

    Google Scholar 

  12. Sreerama Reddy G et al (2009) Design and implementation of 8k-bits low power SRAM in 180nm technology. In: Proceedings of the IMCECS, vol 2

    Google Scholar 

  13. Teman A, Meinerzhagen P, Burg A, Fish A (2012a) Review and classification of gain cell eDRAM implementations. In: Proceedings of the IEEE convention of electrical and electronics engineers in Israel (IEEEI), pp 1–5

    Google Scholar 

  14. Teman A, Yadid-Pecht O, Fish A (2012b) Leakage reduction in advanced image sensors using an improved AB2C scheme. IEEE Sensors J 12(4):773–784

    Google Scholar 

  15. Teman A, Meinerzhagen P, Giterman R, Fish A, Burg A (2014) Replica technique for adaptive refresh timing of gain-cell embedded DRAM. IEEE Trans Circuits Syst II 61(4):259–263

    Article  Google Scholar 

  16. Zhang W et al (2013) A write-back-free 2T1D eDRAM with local voltage sensing and a dual-row-access LP mode. IEEE Trans Circuits Syst I 60(8):2030–2038

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Meinerzhagen, P., Teman, A., Giterman, R., Edri, N., Burg, A., Fish, A. (2018). Novel Bitcells and Assist Techniques for NTV GC-eDRAMs. In: Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Springer, Cham. https://doi.org/10.1007/978-3-319-60402-2_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-60402-2_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-60401-5

  • Online ISBN: 978-3-319-60402-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics