Skip to main content

Retention Time Modeling: The Key to Low-Power GC-eDRAMs

  • Chapter
  • First Online:
Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip

Abstract

As seen in Chap. 2, gain-cell (GC) embedded DRAM (eDRAM), or GC-eDRAM in short, is an interesting alternative to static random-access memory (SRAM) and 1-transistor-1-capacitor (1T-1C) eDRAM for reasons, such as high storage density, low bitcell leakage, logic compatibility, and suitability for two-port memories. The major drawbacks of GC-eDRAMs are their limited data retention times (RTs) and the large spread of RT across an array (Chun et al., IEEE J Solid State Circuits 47(10):2517–2526, 2012; Meinerzhagen et al., IET J Eng 1, 2013), which limit energy-efficiency due to refresh cycles. While the array refresh rate can be determined by circuit simulations or post-silicon measurements, there is a lack of analytical and statistical GC-eDRAM RT models unveiling the circuit parameters, which lead to the large observed RT spreads. This chapter derives a comprehensive analytical model for the nominal value, as well as the statistical distribution of the per-cell retention time of 2-transistor (2T)-bitcell GC-eDRAMs, which is found to follow a log-normal distribution. The accuracy of the proposed retention time model is verified by extensive Monte Carlo (MC) and worst-case distance circuit simulations, as well as silicon measurements of an 0.18 µm test chip. Furthermore, a sensitivity analysis unveils the circuit parameters that have the highest impact on the RT spread. Interestingly, the variability of the threshold voltage of the write transistor (MW) has a much higher impact on the RT spread than the variability of any other circuit parameter, including the storage node (SN) capacitor. The insights gained from the retention time model help circuit designers achieve longer RTs and sharper RT distributions, which are key enablers for low-power GC-eDRAM designs. To summarize the learnings from this chapter, best-practice 2T GC design guidelines are presented.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    This holds true for the applicable range of V SN(t 0) < V SN < V EDRT.

References

  1. Burmaster DE, Hull DA (1997) Using lognormal distributions and lognormal probability plots in probabilistic risk assessments. Hum Ecol Risk Assess 3(2):235–255

    Article  Google Scholar 

  2. Butzen PF, Ribas RP (2006) Leakage current in sub-micrometer CMOS gates. Universidade Federal do Rio Grande do Sul, pp 1–28

    Google Scholar 

  3. Chang MT, Rosenfeld P, Lu SL, Jacob B (2013) Technology comparison for large last-level caches (L3Cs): low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM. In: IEEE International symposium on high performance computer architecture (HPCA), pp 143–154

    Google Scholar 

  4. Cheng Y, Chan M, Hui K, Jeng Mc, Liu Z, Huang J, Chen K, Chen J, Tu R, Ko PK, et al (1996) BSIM3v3 manual. University of California, Berkeley

    Google Scholar 

  5. Chun KC, Jain P, Lee JH, Kim C (2011) A 3T gain cell embedded DRAM utilizing preferential boosting for high density and low power on-die caches. IEEE J Solid State Circuits 46(6):1495–1505

    Article  Google Scholar 

  6. Chun KC, Jain P, Kim TH, Kim C (2012) A 667 MHz logic-compatible embedded DRAM featuring an asymmetric 2T gain cell for high speed on-die caches. IEEE J Solid State Circuits 47(2):547–559. doi:10.1109/JSSC.2011.2168729

    Article  Google Scholar 

  7. Chun KC, Zhang W, Jain P, Kim C (2012) A 2T1C embedded DRAM macro with no boosted supplies featuring a 7T SRAM based repair and a cell storage monitor. IEEE J Solid State Circuits 47(10):2517–2526

    Article  Google Scholar 

  8. Do AT, Yi H, Yeo KS, Kim T (2012) Retention time characterization and optimization of logic-compatible embedded DRAM cells. In: Proceedings of the IEEE Asia symposium on quality electronic design (ASQED), pp 29–34

    Google Scholar 

  9. Ghosh S (2014) Modeling of retention time for high-speed embedded dynamic random access memories. IEEE Trans Circuits Syst I 61(9):2596–2604

    Article  Google Scholar 

  10. Giterman R, Teman A, Meinerzhagen P, Atias L, Burg A, Fish A (2016) Single-supply 3T gain-cell for low-voltage low-power applications. IEEE Trans Very Large Scale Integr (VLSI) Syst 24(1):358–362

    Article  Google Scholar 

  11. Karakonstantis G, Roth C, Benkeser C, Burg A (2012) On the exploitation of the inherent error resilience of wireless systems under unreliable silicon. In: Proceedings of the ACM/EDAC/IEEE design automation conference (DAC), pp 510–515

    Google Scholar 

  12. Lin DK, Draper NR (1993) Generating alias relationships for two-level Plackett and Burman designs. Comput Stat Data Anal 15(2):147–157

    Article  MathSciNet  MATH  Google Scholar 

  13. Mande S, Chandorkar AN, Hsaio C, Huang K, Sheu YM, Liu S (2009) A novel approach to link process parameters to BSIM model parameters. IEEE Trans Semicond Manuf 22(4):544–551

    Article  Google Scholar 

  14. Mande SS, Chandorkar AN, Iwai H (2013) Computationally efficient methodology for statistical characterization and yield estimation due to inter-and intra-die process variations. In: Proceedings of the IEEE Asia symposium on quality electronic design (ASQED), pp 287–294

    Google Scholar 

  15. Meinerzhagen P, Teman A, Mordakhay A, Burg A, Fish A (2012) A sub-VT 2T gain-cell memory for biomedical applications. In: Proceedings of the IEEE subthreshold microelectronics conference (SubVT), pp 1–3. doi:10.1109/SubVT.2012.6404318

    Google Scholar 

  16. Meinerzhagen P, Teman A, Burg A, Fish A (2013) Impact of body biasing on the retention time of gain-cell memories. IET J Eng 1:1–4

    Google Scholar 

  17. Meinerzhagen P, Teman A, Giterman R, Burg A, Fish A (2013) Exploration of sub-VT and near-VT 2T gain-cell memories for ultra-low power applications under technology scaling. J Low Power Electron Appl 3(2):54–72. doi:10.3390/jlpea3020054

    Article  Google Scholar 

  18. Mokhov A, Rykunov M, Sokolov D, Yakovlev A (2014) Design of processors with reconfigurable microarchitecture. J Low Power Electron Appl 4(1):26–43

    Article  Google Scholar 

  19. Park YS, Blaauw D, Sylvester D, Zhang Z (2012) A 1.6-mm2 38-mW 1.5-Gb/s LDPC decoder enabled by refresh-free embedded DRAM. In: Proceedings of the IEEE symposium on VLSI circuits (VLSIC), pp 114–115

    Google Scholar 

  20. Shauly EN (2012) CMOS leakage and power reduction in transistors and circuits: process and layout considerations. J Low Power Electron Appl 2(1):1–29

    Article  Google Scholar 

  21. Somasekhar D, Ye Y, Aseron P, Lu SL, Khellah M, Howard J, Ruhl G, Karnik T, Borkar S, De V, Keshavarzi A (2009) 2 GHz 2 Mb 2T gain cell memory macro with 128 GBytes/sec bandwidth in a 65 nm logic process technology. IEEE J Solid State Circuits 44(1):174–185

    Article  Google Scholar 

  22. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proceedings of the IEEE convention of electrical and electronics engineers in Israel (IEEEI), pp 1–5

    Google Scholar 

  23. Teman A, Meinerzhagen P, Giterman R, Fish A, Burg A (2014) Replica technique for adaptive refresh timing of gain-cell embedded DRAM. IEEE Trans Circuits Syst II 61(4):259–263

    Article  Google Scholar 

  24. Teman A, Karakonstantis G, Giterman R, Meinerzhagen P, Burg A (2015) Energy versus data integrity trade-offs in embedded high-density logic compatible dynamic memories. In: Proceedings of the ACM design, automation & test in Europe conference & exhibition (DATE), DATE ’15, pp 489–494

    Google Scholar 

  25. Wilkerson C, Alameldeen AR, Chishti Z, Wu W, Somasekhar D, Lu Sl (2010) Reducing cache power with low-cost, multi-bit error-correcting codes. ACM SIGARCH Comput Architect News 38(3):83–93

    Article  Google Scholar 

  26. Xie YF, Cheng K, Lin YY (2012) A logic 2T gain cell eDRAM with enhanced retention and fast write scheme. In: Proceedings of the IEEE international conference on solid-state and integrated circuit technology (ICSICT), pp 1–3

    Google Scholar 

  27. Zhang W, Chun KC, Kim CH (2010) Variation aware performance analysis of gain cell embedded DRAMs. In: Proceedings of the ACM/IEEE international symposium on low power electronics and design (ISLPED), pp 19–24

    Google Scholar 

  28. Zhang X, Leomant S, Lau KL, Bermak A (2011) A compact digital pixel sensor (DPS) using 2T-DRAM. J Low Power Electron Appl 1(1):77–96

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Meinerzhagen, P., Teman, A., Giterman, R., Edri, N., Burg, A., Fish, A. (2018). Retention Time Modeling: The Key to Low-Power GC-eDRAMs. In: Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Springer, Cham. https://doi.org/10.1007/978-3-319-60402-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-60402-2_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-60401-5

  • Online ISBN: 978-3-319-60402-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics