Skip to main content

Abstract

This chapter provides a short introduction to the field of embedded memories for VLSI systems-on-chip (SoCs). A review of data published in the last few decades show a steadily increasing need for embedded memories in VLSI SoCs, and predictions from the International Technology Roadmap for Semiconductors (ITRS) indicate that this trend will continue. The chapter reviews various recent VLSI SoCs to exemplify the dominant area and power shares, which embedded memories consume. Specific memory requirements of different classes of VLSI SoCs, from ultra-low power to power-aware high-performance systems, are presented next. Finally, a short review of the state-of-the-art embedded memory technologies, including static random-access memory (SRAM) and embedded dynamic random-access memory (eDRAM), is provided, before closing the chapter with a book outline.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A solar powered IA core? no way! Intel Developer Forum (2011). http://blogs.intel.com/research/2011/09/ntvp

  2. Bohr M (2009) The new era of scaling in an SoC world. In: IEEE international solid-state circuits conference (ISSCC), pp 23–28

    Google Scholar 

  3. Bowman K, Tschanz J, Lu S, Aseron P, Khellah M, Raychowdhury A, Geuskens B, Tokunaga C, Wilkerson C, Karnik T, De V (2011) A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J Solid State Circuits 46(1):194–208

    Article  Google Scholar 

  4. Burg A, Haene S, Borgmann M, Baum D, Thaler T, Carbognani F, Zwicky S, Barbero L, Senning C, Greisen P, Peter T, Foelmli C, Schuster U, Tejera P, Staudacher A (2009) A 4-stream 802.11n baseband transceiver in 0.13 μm CMOS. In: IEEE symposium on VLSI circuits, pp 282–283

    Google Scholar 

  5. Bushnell M, Agrawal V (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits, chap 9.1. Springer, Berlin

    Google Scholar 

  6. Constantin J, Dogan A, Andersson O, Meinerzhagen P, Rodrigues J, Atienza D, Burg A (2012) TamaRISC-CS: An ultra-low-power application-specific processor for compressed sensing. In: Proceedings of the IEEE/IFIP international conference on VLSI system-on-chip (VLSI-SoC), pp 159–164

    Google Scholar 

  7. Damaraju S, George V, Jahagirdar S, Khondker T, Milstrey R, Sarkar S, Siers S, Stolero I, Subbiah A (2012) A 22 nm IA multi-CPU and GPU system-on-chip. In: IEEE international solid-state circuits conference (ISSCC), pp 56–57

    Google Scholar 

  8. Dreslinski R, Wieckowski M, Blaauw D, Sylvester D, Mudge T (2010) Near-threshold computing: reclaiming moore’s law through energy efficient integrated circuits. Proc IEEE 98(2):253–266

    Article  Google Scholar 

  9. Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T (2003) Razor: a low-power pipeline based on circuit-level timing speculation. In: Proc. IEEE/ACM international symposium on microarchitecture (MICRO), pp 7–18

    Google Scholar 

  10. International technology roadmap for semiconductors (2011). http://www.itrs.net/Links/2011ITRS/Home2011.htm

  11. Jahinuzzaman S, Shah J, Rennie D, Sachdev M (2009) Design and analysis of a 5.3-pJ 64-kb gated ground SRAM with multiword ECC. IEEE J Solid State Circuits 44(9):2543–2553

    Article  Google Scholar 

  12. Kaeslin H (2008) Digital integrated circuit design: from VLSI architectures to CMOS fabrication, 1st edn. Cambridge University Press, Cambridge

    Book  MATH  Google Scholar 

  13. Kang S, Leblebici Y (2003) CMOS digital integrated circuits: analysis and design, 3rd edn. McGraw-Hill, New York

    Google Scholar 

  14. Karakonstantis G, Roth C, Benkeser C, Burg A (2012) On the exploitation of the inherent error resilience of wireless systems under unreliable silicon. In: Proceedings of the ACM/EDAC/IEEE design automation conference (DAC), pp 510–515

    Google Scholar 

  15. Kumar A, Rabaey J, Ramchandran K (2009) SRAM supply voltage scaling: a reliability perspective. In: Proceedings of the IEEE international symposium on quality electronic design (ISQED), pp 782–787

    Google Scholar 

  16. Meinerzhagen P, Sherazi S, Burg A, Rodrigues J (2011) Benchmarking of standard-cell based memories in the sub-VT domain in 65-nm CMOS technology. IEEE J Emerging Sel Top Circuits Syst 1(2):173–182

    Article  Google Scholar 

  17. Nehalem part 3: The cache debate, LGA-1156 and the 32 nm future (2008). http://www.anandtech.com/show/2671

  18. Pande PP, Ganguly A, Chakrabarty K (2013) Chapter 9: Claremont: a solar-powered near-threshold voltage IA-32 processor. In: Vangal S, Jain S (eds) Design technologies for green and sustainable computing systems. Springer, Berlin

    Chapter  Google Scholar 

  19. Qazi M, Sinangil M, Chandrakasan A (2011) Challenges and directions for low-voltage SRAM. IEEE Des Test Comput 28(1):32–43

    Article  Google Scholar 

  20. Sabry MM, Karakonstantis G, Atienza D, Burg A (2012) Design of energy efficient and dependable health monitoring systems under unreliable nanometer technologies. In: Proceedings of the ACM international conference on body area networks, pp 52–58

    Google Scholar 

  21. Seo S, Dreslinski R, Woh M, Chakrabarti C, Mahlke S, Mudge T (2010) Diet SODA: a power-efficient processor for digital cameras. In: Proceedings of the ACM/IEEE international symposium on low-power electronics and design (ISLPED), pp 79–84

    Google Scholar 

  22. Somasekhar D, Ye Y, Aseron P, Lu SL, Khellah M, Howard J, Ruhl G, Karnik T, Borkar S, De V, Keshavarzi A (2008) 2GHz 2Mb 2T gain-cell memory macro with 128GB/s bandwidth in a 65nm logic process. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 274–613

    Google Scholar 

  23. Studer C, Preyss N, Roth C, Burg A (2008) Configurable high-throughput decoder architecture for quasi-cyclic LDPC codes. In: Proceedings of the IEEE Asilomar conference on signals, systems and computers, pp 1137–1142

    Google Scholar 

  24. Teman A, Visotsky R (2015) A fast modular method for true variation-aware separatrix tracing in nanoscaled SRAMs. IEEE Trans Very Large Scale Integr 23(10):2034–2042

    Article  Google Scholar 

  25. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proceedings of the IEEE convention of electrical and electronics engineers in Israel (IEEEI), pp 1–5

    Google Scholar 

  26. Teman A, Rossi D, Meinerzhagen P, Benini L, Burg A (2016) Power, area, and performance optimization of standard cell memory arrays through controlled placement. ACM Trans Des Autom Electron Syst (TODAES) 21(4):59

    Google Scholar 

  27. Yoo J, Yan L, El-Damak D, Bin Altaf M, Shoeb A, Yoo HJ, Chandrakasan A (2012) An 8-channel scalable EEG acquisition SoC with fully integrated patient-specific seizure classification and recording processor. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 292–294

    Google Scholar 

  28. Zhang F, Zhang Y, Silver J, Shakhsheer Y, Nagaraju M, Klinefelter A, Pandey J, Boley J, Carlson E, Shrivastava A, Otis B, Calhoun B (2012) A batteryless 19 μ W MICS/ISM-band energy harvesting body area sensor node SoC. In: Proceedings of the IEEE international solid-state circuits conference (ISSCC), pp 298–300

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Meinerzhagen, P., Teman, A., Giterman, R., Edri, N., Burg, A., Fish, A. (2018). Embedded Memories: Introduction. In: Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Springer, Cham. https://doi.org/10.1007/978-3-319-60402-2_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-60402-2_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-60401-5

  • Online ISBN: 978-3-319-60402-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics