Skip to main content

Post-bond Scan-Based Testing of Interposer Interconnects

  • Chapter
  • First Online:
Testing of Interposer-Based 2.5D Integrated Circuits

Abstract

Interposer testing must be done at the pre-bond stage and at the post-bond stage. While pre-bond testing is obviously important and is receiving attention [1], including in Chap. 2 of this book, the focus of this chapter is on post-bond testing, which allows us to target defects in the interposer interconnects and the micro-bumps in an integrated manner. Post-bond testing allows us to detect defects in the interposer (e.g., shorts and opens), as well as faults due to missing or deformed micro-bumps faults that cause misalignment between dies, micro-bumps, and the interposer. However, post-bond testing is difficult due to limited access to the TSVs and the RDL inside the interposer.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S.K. Goel, S. Adham, M.J. Wang, J.J. Chen, T.C. Huang, A. Mehta, F. Lee, V. Chickermane, B. Keller, T. Valind, S. Mukherjee, N. Sood, J. Cho, H. Lee, J. Choi, S. Kim, in Test and debug strategy for TSMC CoWoSTM stacking process based heterogeneous 3D IC: a silicon case study, in IEEE International Test Conference, 2013

    Google Scholar 

  2. IEEE Std 1149.1TM-2001, IEEE Standard Test Access Port and Boundary-Scan Architecture (IEEE Computer Society, IEEE, New York, 2001)

    Google Scholar 

  3. B. Banijamali, S. Ramalingam, K. Nagarajan, R. Chaware Advanced reliability study of TSV interposers and interconnects for the 28 nm technology FPGA, in IEEE Electronic Components and Technology Conference, pp. 285–290, 2011

    Google Scholar 

  4. M.J. Wang, C.Y. Hung, C.L. Kao, P.N. Lee, C.H. Chen, C.P. Hung, H.M. Tong, SV technology for 2.5D IC solution, in IEEE Electronic Components and Technology Conference, pp. 284–288, 2012

    Google Scholar 

  5. P.T. Wagner, Interconnect testing with boundary scan, in IEEE International Test Conference, pp. 52–57, 1987

    Google Scholar 

  6. I. Park, E. McCluskey, Launch-on-shift-capture transition tests, IEEE International Test Conference, pp. 1–9, 2008

    Google Scholar 

  7. A. Buscarino, L. Fortuna, M. Frasca, G. Sciuto, Design of time-delay chaotic electronic circuits. IEEE Trans. Circ. Syst. 58(8), 1888–1896 (2011)

    Article  MathSciNet  Google Scholar 

  8. R. Wang, K. Chakrabarty, S. Bhawmik, At-Speed interconnect testing and test-path optimization for 2.5D ICs, in IEEE VLSI Test Symposium (VTS), pp. 1–6, 2014

    Google Scholar 

  9. K. Kumagai, Y. Yoneda, H. Izumino, H. Shimojo, M. Sunohara, T. Kurihara, M. Higashi, Y. Mabuchi, A silicon interposer BGA package with Cu-filled TSV and multi-layer Cu-plating interconnect, in IEEE Electronic Components and Technology Conference, pp. 571–576, 2008

    Google Scholar 

  10. J. Kim, J.S. Pak, J. Cho, E. Song, J. Cho, H. Kim, T. Song, J. Lee, H. Lee, K. Park, S. Yang, M.-S. Suh, K.-Y. Byun, J. Kim, High-frequency scalable electrical model and analysis of a through silicon via (TSV). IEEE Trans. Compon. Packag. Manuf. Technol. 1, 181–195 (2011)

    Article  Google Scholar 

  11. D. A. Hodges, H. G. Jackson, R. A. Saleh, Analysis and Design of Digital Integrated Circuits In Deep Submicron Technology (McGraw-Hill Higher Education, Boston, 2004)

    Google Scholar 

  12. 45nm PTM LP Model, http://ptm.asu.edu/modelcard/LP/45nm_LP.pm, January 2011

  13. ITRS 2011 Edition, Interconnect, http://www.itrs.net/Links/2011ITRS, 2011

  14. S.Y. Huang L.R. Huang, Delay Testing and Characterization of Post-Bond Interposer Wires in 2.5-D ICs, IEEE International Test Conference, 2013

    Google Scholar 

  15. M. Yilmaz, K. Chakrabarty, M. Tehranipoor, Test-Pattern Selection for Screening Small-Delay Defects in Very-Deep Submicrometer Integrated Circuits, IEEE Trans. CAD, 760–773 (2010)

    Google Scholar 

  16. TSMC 45nm library, http://www.synopsys.com/dw/emllselector.php, 2013

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ran Wang .

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Wang, R., Chakrabarty, K. (2017). Post-bond Scan-Based Testing of Interposer Interconnects. In: Testing of Interposer-Based 2.5D Integrated Circuits. Springer, Cham. https://doi.org/10.1007/978-3-319-54714-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-54714-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-54713-8

  • Online ISBN: 978-3-319-54714-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics