Skip to main content

Manufacturing Solutions

  • Chapter
  • First Online:
Book cover Dependable Multicore Architectures at Nanoscale

Abstract

The continued scaling of CMOS transistors has been the enabler of faster, cheaper, and denser ICs and electronics. However, as the scaling is slowly coming to its end, many challenges emerge, including higher static power, high manufacturing cost, and more important, reduced reliability. The latter is mainly due to process or time-zero variation (i.e., process variations) or time-dependent variations (either related to temporal/aging variations such as SILC, BTI, HCD, or to environmental variations such as radiations). This chapter provides a broad overview of the latest techniques that are being used to mitigate these reliability challenges in the latest technology nodes. In the first part of this chapter, we present some of the techniques that are being used to manage process variation, including both static and dynamic techniques. These techniques span the entire range from improved layout rules to dynamic voltage scaling all the way to techniques implemented in application software. In the second part of this chapter, a review of these aging effects is presented including SILC, BTI, HCD, and self-heating effects, as well as the latest research on how they can be mitigated. In the final section, we investigate radiation-induced upsets and how they impact the latest technology nodes including FinFET and SOI technologies.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. C. Auth et al., 45 nm High-k+ metal gate strain-enhanced transistors, in 2008 Symposium on VLSI Technology (Honolulu, 2008), pp. 128–129

    Google Scholar 

  2. P.A. Stolk, F.P. Widdershoven, D.B.M. Klaassen, Device modeling of statistical dopant fluctuations in MOS transistors, in 1997 International Conference on Simulation of Semiconductor Processes and Devices, 1997. SISPAD ‘97 (Cambridge, 1997), pp. 153–156

    Google Scholar 

  3. M.D. Levenson, N.S. Viswanathan, R.A. Simpson, Improving resolution in photolithography with a phase-shifting mask. IEEE Trans. Electron Devices 29(12), 1828–1836 (1982)

    Article  Google Scholar 

  4. P. Yu, S.X. Shi, D.Z. Pan, Process variation aware OPC with variational lithography modeling, in 2006 43rd ACM/IEEE Design Automation Conference (San Francisco, 2006), pp. 785–790

    Google Scholar 

  5. Y.H. Su, Y.C. Huang, L.C. Tsai, Y.W. Chang, S. Banerjee, Fast lithographic mask optimization considering process variation, in 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (San Jose, 2014), pp. 230–237

    Google Scholar 

  6. A. Awad, A. Takahashi, S. Tanaka, C. Kodama, A fast process variation and pattern fidelity aware mask optimization algorithm, in 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (San Jose, 2014), pp. 238–245

    Google Scholar 

  7. K. Yuan, J.S. Yang, D.Z. Pan, Double patterning layout decomposition for simultaneous conflict and stitch minimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(2), 185–196 (2010)

    Article  Google Scholar 

  8. K.P. Subramaniyan, P. Larsson-Edefors, Manufacturable nanometer designs using standard cells with regular layout, in 2013 14th International Symposium on Quality Electronic Design (ISQED) (Santa Clara, 2013), pp. 398–405

    Google Scholar 

  9. M. Pons, F. Moll, A. Rubio, J. Abella, X. Vera, A. González, VCTA: a via-configurable transistor array regular fabric, in 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip (Madrid, 2010), pp. 335–340

    Google Scholar 

  10. D. Blaauw, K. Chopra, A. Srivastava, L. Scheffer, Statistical timing analysis: from basic principles to state of the art. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(4), 589–607 (2008)

    Article  Google Scholar 

  11. M. Mani, M. Orshansky, A new statistical optimization algorithm for gate sizing, in Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004 (2004), pp. 272–277

    Google Scholar 

  12. J. Singh, V. Nookala, Z.-Q. Luo, S. Sapatnekar, Robust gate sizing by geometric programming, in Proceedings of the 42nd Design Automation Conference, 2005 (2005), pp. 315–320

    Google Scholar 

  13. T. Burd, T. Pering, A. Stratakos, R. Brodersen, A dynamic voltage scaled microprocessor system, in 2000 IEEE International Solid-State Circuits Conference, 2000. Digest of Technical Papers. ISSCC (San Francisco, 2000), pp. 294–295

    Google Scholar 

  14. M. Elgebaly, M. Sachdev, Variation-aware adaptive voltage scaling system. IEEE Trans. Very Large Scale Integr. VLSI Syst. 15(5), 560–571 (2007)

    Article  Google Scholar 

  15. M. Wirnshofer, L. Heiß, G. Georgakos, D. Schmitt-Landsiedel, A variation-aware adaptive voltage scaling technique based on in-situ delay monitoring, in 2011 IEEE 14th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) (Cottbus, 2011), pp. 261–266

    Google Scholar 

  16. M. Ahuja, S. Narang, S. Patnaik, A process corner detection methodology for resilience towards process variations using adaptive body bias, in 2015 International Conference on Circuit, Power and Computing Technologies (ICCPCT) (Nagercoil, 2015), pp. 1–6

    Google Scholar 

  17. J.W. Tschanz et al., Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37(11), 1396–1402 (2002)

    Article  Google Scholar 

  18. S. Ghosh, R. Kaushik, Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching, in 2008 Asia and South Pacific Design Automation Conference (Seoul, 2008), pp. 635–640

    Google Scholar 

  19. S. Ghosh, S. Bhunia, K. Roy, CRISTA: a new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11), 1947–1956 (2007)

    Article  Google Scholar 

  20. D. Ernst et al., Razor: a low-power pipeline based on circuit-level timing speculation, in Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36 (2003), pp. 7–18

    Google Scholar 

  21. M. Choudhury, V. Chandra, K. Mohanram, R. Aitken, TIMBER: Time borrowing and error relaying for online timing error resilience, in 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010) (Dresden, 2010), pp. 1554–1559

    Google Scholar 

  22. J.C. Smolens, B.T. Gold, B. Falsafi. J.C. Hoe, Reunion: Complexity-Effective Multicore Redundancy, in 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’06) (Orlando, 2006), pp. 223–234

    Google Scholar 

  23. N. Kandasamy, J.P. Hayes, B.T. Murray, Transparent recovery from intermittent faults in time-triggered distributed systems. IEEE Trans. Comput. 52(2), 113–125 (2003)

    Article  Google Scholar 

  24. B. Kaczer et al., The defect-centric perspective of device and circuit reliability—from gate oxide defects to circuits. Solid State Electron. 125, 52–62 (2016)

    Google Scholar 

  25. G. Groeseneken et al., Achievements and challenges for the electrical performance of MOSFETs with high-k gate dielectrics, in Proceedings of the International Conference on Physical and Failure Analysis of Integrated Circuits (IPFA 2004) (2004), pp. 147–155

    Google Scholar 

  26. M. Jo et al., Improved high-k/metal gate lifetime via improved SILC understanding and mitigation, in IEEE International Electron Devices Meeting (IEDM), Techical Digest (2011), pp. 18.3.1–18.3.4

    Google Scholar 

  27. S. Ramey et al., Intrinsic transistor reliability improvements from 22 nm tri-gate technology, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 4C.5.1–4C.5.5

    Google Scholar 

  28. K.T. Lee et al., Frequency dependent TDDB behaviors and its reliability qualification in 32 nm high-k/metal gate CMOSFETs, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2011), pp. 2A.3.1–2A.3.5

    Google Scholar 

  29. A. Bezza et al., Physical understanding of low frequency degradation of NMOS TDDB in High-k metal gate stack-based technology. Implication on lifetime assessment, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2015), pp. 5A.5.1–5A.5.5

    Google Scholar 

  30. C.L. Chen et al., The physical mechanism investigation of AC TDDB behavior in advanced gate stack, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2014), pp. 5B.5.1–5B.5.5

    Google Scholar 

  31. B.P. Linder, D.J. Frank, J.H. Stathis, S.A. Cohen, Transistor-limited constant voltage stress of gate dielectrics, in Proceedings of the Symposium on VLSI Technology (2001), pp. 93–94

    Google Scholar 

  32. B. Kaczer, A. De Keersgieter, S. Mahmood, R. Degraeve, G. Groeseneken, Impact of gate-oxide breakdown of varying hardness on narrow and wide nFET’s, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2004), pp. 79–83

    Google Scholar 

  33. B. Kaczer et al., Impact of MOSFET oxide breakdown on digital circuit operation and reliability, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2000), pp. 553–556

    Google Scholar 

  34. B. Kaczer, R. Degraeve, E. Augendre, M. Jurczak, G. Groeseneken, Experimental verification of SRAM cell functionality after hard and soft gate oxide breakdowns, in Conference on European Solid-State Device Research (ESSDERC) (2003), pp. 75–78

    Google Scholar 

  35. J. Sune, E.Y. Wu, W.L. Lai, Successive oxide breakdown statistics: correlation effects, reliability methodologies, and their limits. IEEE Trans. Electron Devices 51(10), 1584–1592 (2004)

    Article  Google Scholar 

  36. S. Sahhaf et al., TDDB reliability prediction based on the statistical analysis of hard breakdown including multiple soft breakdown and wear-out, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2007), pp. 501–504

    Google Scholar 

  37. M.A. Alam, R.K. Smith, B.E. Weir, P.J. Silverman, Statistically independent soft breakdowns redefine oxide reliability specifications, in International Electron Devices Meeting (IEDM) Technical Digest (2002), pp. 151–154

    Google Scholar 

  38. J.H. Stathis, S. Zafar, The negative bias temperature instability in MOS devices: a review. Microelectron. Reliab. 46(2–4), 270–286 (2006)

    Article  Google Scholar 

  39. Y. Mitani, Influence of nitrogen in ultra-thin SiON on negative bias temperature instability under AC stress, in International Electron Devices Meeting (IEDM) Technical Digest (2004), pp. 117–120

    Google Scholar 

  40. B.P. Linder et al., Process optimizations for NBTI/PBTI for future replacement metal gate technologies, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2016), pp. 4B.1.1–4B.1.5

    Google Scholar 

  41. J. Franco et al., NBTI in Replacement Metal Gate SiGe Core FinFETs: Impact of Ge concentration, fin width, fin rotation and interface passivation by high pressure anneals, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2016), pp. 4B.2.1–4B.2.7

    Google Scholar 

  42. J.P. Colinge et al., Nanowire transistors without junctions. Nat. Nanotechnol. 5, 225–229 (2010)

    Article  Google Scholar 

  43. A. Veloso et al., Gate-all-around NWFETs vs. triple-gate FinFETs: junctionless vs. extensionless and conventional junction devices with controlled EWF modulation for multi-VT CMOS, in Proceedings of the Symposium on VLSI Technology (2015), pp. T138–T139

    Google Scholar 

  44. M. Toledano-Luque et al., Superior reliability of junctionless pFinFETs by reduced oxide electric field. IEEE Electron Device Lett. 35(12), 1179–1181 (2014)

    Article  Google Scholar 

  45. B. Kaczer et al., Maximizing reliable performance of advanced CMOS circuits—a case study, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2014), pp. 2D.4.1–2D.4.6

    Google Scholar 

  46. D.P. Ioannou et al., A robust reliability methodology for accurately predicting Bias Temperature Instability induced circuit performance degradation in HKMG CMOS, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2011), pp. CR.1.1–CR.1.4

    Google Scholar 

  47. K. Zhao, J.H. Stathis, B.P. Linder, E. Cartier, A. Kerber, PBTI under dynamic stress: from a single defect point of view, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2011), pp. 4A.3.1–4A.3.9

    Google Scholar 

  48. S. Wang, D.S. Ang, G.A. Du, Effect of nitrogen on the frequency dependence of dynamic NBTI-induced threshold-voltage shift of the ultrathin oxynitride gate P-MOSFET. IEEE Electron Device Lett. 29(5), 483–486 (2008)

    Article  Google Scholar 

  49. R. Fernandez et al., AC NBTI studied in the 1 Hz–2 GHz range on dedicated on-chip CMOS circuits, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2006), pp. 1–4

    Google Scholar 

  50. T. Nigam, Pulse-stress dependence of NBTI degradation and its impact on circuits. IEEE Trans. Device Mater. Reliab. 8(1), 72–78 (2008)

    Article  Google Scholar 

  51. T. Grasser, B. Kaczer, H. Reisinger, P.-J. Wagner, M. Toledano-Luque, On the frequency dependence of the bias temperature instability, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2012), pp. XT.8.1–XT.8.7

    Google Scholar 

  52. L. Heiß et al., New methodology for on-chip RF reliability assessment, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2016), pp. 4C.5.1–4C.5.7

    Google Scholar 

  53. W. Arfaoui et al., Energy-driven Hot-Carrier model in advanced nodes, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2014), pp. XT.12.1–XT.12.5

    Google Scholar 

  54. M. Koyanagi, H. Kaneko, S. Shimizu, Optimum design of n+-n double-diffused drain MOSFET to reduce hot-carrier emission. IEEE Trans. Electron Devices 32(3), 562–570 (1985)

    Article  Google Scholar 

  55. C. Hu, S.C. Tam, F.-C. Hsu, P.-K. Ko, T.-Y. Chan, K.W. Terrill, Hot-electron-induced MOSFET degradation—model, monitor, and improvement. IEEE J. Solid-State Circuits 20(1), 295–305 (1985)

    Article  Google Scholar 

  56. M. Cho et al., On and off state hot carrier reliability in junctionless high-K MG gate-all-around nanowires, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2015), pp. 14.5.1–14.5.4

    Google Scholar 

  57. M. Cho, E. Bury, B. Kaczer, G. Groeseneken, Channel hot carrier degradation and self-heating effects in FinFETs, in Hot Carrier Degradation in Semiconductor Devices, ed. by T. Grasser (Springer, 2014), pp 287–307

    Google Scholar 

  58. S. Kim, J. Lee, Hot carrier-induced degradation in bulk FinFETs. IEEE Electron Device Lett. 26(8), 566–568 (2005)

    Article  Google Scholar 

  59. Y.-K. Choi, D. Ha, E. Snow, J. Bokor, T.-J. King, Reliability study of CMOS FinFETs, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2003), pp. 7.6.1–7.6.4

    Google Scholar 

  60. D. Lee, S. Lee, C. Yu, J. Park, A guideline for the optimum fin width considering hot-carrier and NBTI degradation in MuGFETs. IEEE Electron Device Lett. 32(9), 1176–1178 (2011)

    Article  Google Scholar 

  61. W. Liu, K. Etessam-Yazdani, R. Hussin, M. Asheghi, Modeling and data for thermal conductivity of ultrathin single-crystal SOI layers at high temperature, IEEE Trans. Electron Devices 53(8), 1868–1876 (2006)

    Google Scholar 

  62. S. Tyaginov et al., Understanding and modeling the temperature behavior of hot-carrier degradation in SiON nMOSFETs. IEEE Electron Device Lett. 37(1), 84–87 (2016)

    Article  Google Scholar 

  63. C. Prasad et al., Self-heat reliability considerations on Intel’s 22 nm Tri-Gate technology, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2013), pp. 5D.1.1–5D.1.5

    Google Scholar 

  64. E. Bury et al., Characterization of self-heating in high-mobility Ge FinFET pMOS devices, in Proceedings of the Symposium on VLSI Technology (2015), pp. T60–T61

    Google Scholar 

  65. T. Takahashi, T. Matsuki, T. Shinada, Y. Inoue, K. Uchida, Comparison of self-heating effect (SHE) in short-channel bulk and ultra-thin BOX SOI MOSFETs: impacts of doped well, ambient temperature, and SOI/BOX thicknesses on SHE, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2013), pp. 7.4.1–7.4.4

    Google Scholar 

  66. K.O. Jeppson, C.M. Svensson, Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices. J. Appl. Phys. 48(5), 2004–2014 (1977)

    Article  Google Scholar 

  67. S. Tyaginov et al., A predictive physical model for hot-carrier degradation in ultra-scaled MOSFETs, in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) (2014), pp. 89–92

    Google Scholar 

  68. S. Maeda et al., Negative bias temperature instability in triple gate transistors, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2004), pp. 8–12

    Google Scholar 

  69. A.N. Tallarico et al., Impact of the substrate orientation on CHC reliability in n-FinFETs—separation of the various contributions. IEEE Trans. Device Mater. Reliab. 14(1), 52–56 (2014)

    Article  Google Scholar 

  70. B.N.J. Persson, Ph Avouris, Local bond breaking via STM-induced excitations: the role of temperature. Surf. Sci. 390, 45–54 (1997)

    Article  Google Scholar 

  71. J.W. Lyding et al., Ultrahigh vacuum–scanning tunneling microscopy nanofabrication and hydrogen/deuterium desorption from silicon surfaces: implications for complementary metal oxide semiconductor technology. Appl. Surf. Sci. 130–132, 221–230 (1998)

    Article  Google Scholar 

  72. K. Hess, I.C. Kizilyalli, J.W. Lyding, Giant isotope effect in hot electron degradation of metal oxide silicon devices. IEEE Trans. Electron Devices 45(2), 406–416 (1998)

    Article  Google Scholar 

  73. K. Seo, R. Sreenivasan, P.C. Mclntyre, K.C. Saraswat, Improvement in high-k (HfO2/SiO2) reliability by incorporation of fluorine, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2005), p. 420

    Google Scholar 

  74. H.-H. Tseng et al., Defect passivation with fluorine in a TaxC high-K gate stack for enhanced device threshold voltage stability and performance, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2005), pp. 696–699

    Google Scholar 

  75. C.G. Van de Walle, W.B. Jackson, Comment on ‘Reduction of hot electron degradation in metal oxide semiconductor transistors by deuterium processing’, Appl. Phys. Lett. 68, 2526 (1996)]. Appl. Phys. Lett. 69, 2441 (1996)

    Google Scholar 

  76. I.C. Kizilyalli, J.W. Lyding, K. Hess, Deuterium post-metal annealing of MOSFET’s for improved hot carrier reliability. IEEE Electron Device Lett. 18(3), 81–83 (1997)

    Article  Google Scholar 

  77. K. Onishi et al., Bias-temperature instabilities of polysilicon gate HfO2 MOSFETs. IEEE Trans. Electron Devices 50(6), 1517–1524 (2003)

    Article  Google Scholar 

  78. N. Kasai, P.J. Wright, K.C. Saraswat, Hot-carrier-degradation characteristics for fluorine-incorporated nMOSFET’s. IEEE Trans. Electron Devices 37(6), 1426–1431 (1990)

    Article  Google Scholar 

  79. A. Shickova et al., Novel, effective and cost-efficient method of introducing fluorine into metal/Hf-based gate stack in MuGFET and planar SOI devices with significant BTI improvement, in Proceedings of the IEEE Symposium on VLSI Technology (2007), pp. 112–113

    Google Scholar 

  80. A. Veloso et al., Thermal and plasma treatments for improve d (sub-)1 nm EOT planar and FinFET-based RMG high-k latest devices and enabling a simplified scalable CMOS integration scheme, in International Conference on Solid State Devices Materials (SSDM) (2013), pp. 590–591

    Google Scholar 

  81. T. Grasser et al., Gate-sided hydrogen release as the origin of “permanent” NBTI degradation: from single defects to lifetimes, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2015), pp. 20.1.1–20.1.4

    Google Scholar 

  82. T. Aichinger, S. Puchner, M. Nelhiebel, T. Grasser, H. Hutter, Impact of hydrogen on recoverable and permanent damage following negative bias temperature stress, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2010), pp. 1063–1068

    Google Scholar 

  83. T. Grasser et al., The paradigm shift in understanding the bias temperature instability: from reaction-diffusion to switching oxide traps. IEEE Trans. Electron Devices 58(11), 3652–3666 (2011)

    Article  Google Scholar 

  84. J. Franco et al., Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO2/HfO2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2013), pp. 15.2.1–15.2.4

    Google Scholar 

  85. B. Kaczer, A. Veloso, M. Aoulaiche, G. Groeseneken, Significant reduction of Positive Bias Temperature Instability in high-k/metal-gate nFETs by incorporation of rare earth metals. Microelectron. Eng. 86(7–9), 1894–1896 (2009)

    Article  Google Scholar 

  86. J. Franco et al., 6Å EOT Si0.45Ge0.55 pMOSFET with optimized reliability (VDD = 1V): meeting the NBTI lifetime target at ultra-thin EOT, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2010), pp. 4.1.1–4.1.4

    Google Scholar 

  87. K. Xiong, J. Robertson, Passivation of oxygen vacancy states in HfO2 by nitrogen. J. Appl. Phys. 99(4), 044105 (2006)

    Article  Google Scholar 

  88. D. Liu, J. Robertson, Passivation of oxygen vacancy states and suppression of Fermi pinning in HfO2 by La addition. Appl. Phys. Lett. 94, 042904 (2009)

    Article  Google Scholar 

  89. S. Sahhaf et al., Correlation between the Vth adjustment of nMOSFETs with HfSiO gate oxide and the energy profile of the bulk trap density. IEEE Electron Device Lett. 31(4), 272–274 (2010)

    Article  Google Scholar 

  90. H. Arimura et al., Ge nFET with high electron mobility and superior PBTI reliability enabled by monolayer-Si surface passivation and La-induced interface dipole formation, in IEEE International Electron Devices Meeting (IEDM) (2015), pp. 21.6.1–21.6.4

    Google Scholar 

  91. K.T. Lee, H. Kim, J. Park, J. Park, Gate stack process optimization for TDDB improvement in 28 nm high-k/metal gate nMOSFETs, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2012), pp. GD.2.1–GD.2.4

    Google Scholar 

  92. Y.-T. Chen et al., Effect of NH3 plasma nitridation on hot-carrier instability and low-frequency noise in Gd-doped high-k dielectric nMOSFETs. IEEE Trans. Electron Devices 58(3), 812–818 (2011)

    Article  Google Scholar 

  93. R. Degraeve, G. Groeseneken, R. Bellens, M. Depas, H.E. Maes, A consistent model for the thickness dependence of intrinsic breakdown in ultra-thin oxides, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (1995), pp. 863–866

    Google Scholar 

  94. A. Kerber et al., Strong correlation between dielectric reliability and charge trapping in SiO2/Al2O3 gate stacks with TiN electrodes, in Proceedings of the Symposium on VLSI Technology (2002), pp. 76–77

    Google Scholar 

  95. A. Shickova et al., Dielectric breakdown study of multi-gate devices, in 7th European Workshop Ultimate Integration of Silicon (ULIS) (2006), pp. 141–144

    Google Scholar 

  96. K.K. Hung, P.K. Ko, C. Hu, Y.C. Cheng, Random telegraph noise of deep-submicrometer MOSFETs. IEEE Electron Device Lett. 11(2), 90–92 (1990)

    Article  Google Scholar 

  97. E. Bury et al. Study of (correlated) trap sites in SILC, BTI and RTN in SiON and HKMG devices, in Proceedings of the International Symposium on the Physical and Failure Analysis Integrated Circuits (IPFA) (2014), pp. 250–253

    Google Scholar 

  98. B. Kaczer, M. Toledano-Luque, J. Franco, P. Weckx, Statistical distribution of defect parameters, in Bias Temperature Instability for Devices and Circuits, ed. by T. Grasser (Springer, 2014)

    Google Scholar 

  99. C. Prasad et al., Bias temperature instability variation on SiON/Poly, HK/MG and trigate architectures, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2014), pp. 6A.5.1–6A.5.7

    Google Scholar 

  100. P. Weckx et al., Characterization of time-dependent variability using 32k transistor arrays in an advanced HK/MG technology, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2015), pp. 3B.1.1–3B.1.6

    Google Scholar 

  101. J. Franco et al., RTN and PBTI-induced time-dependent variability of replacement metal-gate high-k InGaAs FinFETs, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2014), pp. 20.2.1–20.2.4

    Google Scholar 

  102. M. Toledano-Luque et al., Degradation of time dependent variability due to interface state generation, in Proceedings of the Symposium on VLSI Technology (2013), pp. T190–T191

    Google Scholar 

  103. M. Toledano-Luque et al., Depth localization of positive charge trapped in silicon oxynitride field effect transistors after positive and negative gate bias temperature stress. Appl. Phys. Lett. 98, 183506 (2011)

    Article  Google Scholar 

  104. J. Franco et al., SiGe channel technology: superior reliability toward ultra-thin eot devices—Part II: Time-dependent variability in nanoscaled devices and other reliability issues. IEEE Trans. Electron Devices 60(1), 405–412 (2013)

    Article  Google Scholar 

  105. C. Liu, K.T. Lee, S. Pae, J. Park, New observations on hot carrier induced dynamic variation in nano-scaled SiON/poly, HK/MG and FinFET devices based on on-the-fly HCI technique: the role of single trap induced degradation, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2014), p. 34.6.1

    Google Scholar 

  106. B. Kaczer et al., Origins and Implications of Increased Channel Hot Carrier Variability in nFinFETs, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (2015), pp. 3B.5.1–3B.5.6

    Google Scholar 

  107. P. Roche, G. Gasiot, Impacts of front-end and middle-end process modifications on terrestrial soft error rate. IEEE Trans. Device Mater. Reliab. 5(3), 382–396 (2005)

    Article  Google Scholar 

  108. Y.P. Fang, A.S. Oates, Neutron-induced charge collection simulation of bulk FinFET SRAMs compared with conventional planar SRAMs. IEEE Trans. Device Mater. Reliab. 11(4), 551–554 (2011)

    Article  Google Scholar 

  109. J. Noh et al., Study of neutron soft error rate (SER) sensitivity: investigation of upset mechanisms by comparative simulation of FinFET and planar MOSFET SRAMs. IEEE Trans. Nucl. Sci. 62(4), 1642–1649 (2015)

    Article  Google Scholar 

  110. N. Seifert et al., Soft error susceptibilities of 22 nm tri-gate devices. IEEE Trans. Nucl. Sci. 59(6), 2666–2673 (2012)

    Article  Google Scholar 

  111. N. Seifert et al., Soft error rate improvements in 14-nm technology featuring second-generation 3D tri-gate transistors. IEEE Trans. Nucl. Sci. 62(6), 2570–2577 (2015)

    Article  Google Scholar 

  112. S. Lee et al., Radiation-induced soft error rate analyses for 14 nm FinFET SRAM devices, in 2015 IEEE International Reliability Physics Symposium (Monterey, 2015), pp. 4B.1.1–4B.1.4

    Google Scholar 

  113. H. Belhaddad, R. Perez, M. Nicolaidis, R. Gaillard, M. Derbey, F. Benistant, Circuit simulations of SEU and SET disruptions by means of an empirical model built thanks to a set of 3d mixed-mode device simulation responses, in Proceedings of RADECS, 27–29 Sep. (2006)

    Google Scholar 

  114. P. Nsengiyumva et al., A comparison of the SEU response of planar and FinFET D flip-flops at advanced technology nodes. IEEE Trans. Nucl. Sci. 63(1), 266–272 (2016)

    Article  Google Scholar 

  115. Y.P. Fang, A.S. Oates, Characterization of single bit and multiple cell soft error events in planar and FinFET SRAMs. IEEE Trans. Device Mater. Reliab. 16(2), 132–137 (2016)

    Article  Google Scholar 

  116. I. Chatterjee, E.X. Zhang, B.L. Bhuva, D.M. Fleetwood, Y.P. Fang, A. Oates, Length and fin number dependence of ionizing radiation-induced degradation in bulk FinFETs, in Proceedings of the IEEE International Reliability Physics Symposium (IRPS) (Anaheim, 2013), pp. SE.8.1–SE.8.6

    Google Scholar 

  117. S. Ramey et al., Intrinsic transistor reliability improvements from 22 nm tri-gate technology, in 2013 IEEE International Reliability Physics Symposium (IRPS) (Anaheim, 2013), pp. 4C.5.1–4C.5.5

    Google Scholar 

  118. N. Planes et al., 28 nm FDSOI technology platform for high-speed low-voltage digital applications, in 2012 Symposium on VLSI Technology (VLSIT) (Honolulu, 2012), pp. 133–134

    Google Scholar 

  119. V. Malherbe, G. Gasiot, D. Soussan, A. Patris, J.L. Autran, P. Roche, Alpha soft error rate of FDSOI 28 nm SRAMs: Experimental testing and simulation analysis, in 2015 IEEE International Reliability Physics Symposium (Monterey, 2015), pp. SE.11.1–SE.11.6

    Google Scholar 

  120. G. Gasiot, D. Soussan, M. Glorieux, C. Bottoni, P. Roche, SER/SEL performances of SRAMs in UTBB FDSOI28 and comparisons with PDSOI and BULK counterparts, in 2014 IEEE International Reliability Physics Symposium (Waikoloa, 2014), pp. SE.6.1–SE.6.5

    Google Scholar 

  121. G. Gasiot, D. Soussan, J.L. Autran, V. Malherbe, P. Roche, Muons and thermal neutrons SEU characterization of 28 nm UTBB FD-SOI and Bulk eSRAMs, in 2015 IEEE International Reliability Physics Symposium (Monterey, 2015), pp. 2C.2.1–2C.2.5

    Google Scholar 

  122. P. Oldiges et al., SOI FinFET soft error upset susceptibility and analysis, in 2015 IEEE International Reliability Physics Symposium (Monterey, 2015), pp. 4B.2.1–4B.2.4

    Google Scholar 

  123. H. Hughes et al., Total ionizing dose radiation effects on 14 nm FinFET and SOI UTBB technologies, in 2015 IEEE Radiation Effects Data Workshop (REDW) (Boston, 2015), pp. 1–6

    Google Scholar 

  124. T. Calin, M. Nicolaidis, R. Velazco, Upset hardened memory design for submicron CMOS technology. IEEE Trans. Nucl. Sci. 43(6), 2874–2878 (1996)

    Article  Google Scholar 

  125. H.-H.K. Lee, K. Lilja, M. Bounasser, P. Relangi, I.R. Linscott, U.S. Inan, S. Mitra, LEAP: Layout design through error-aware transistor positioning for soft-error resilient sequential cell design

    Google Scholar 

  126. Q. Wu et al., Supply voltage dependence of heavy ion induced SEEs on 65 nm CMOS bulk SRAMs. IEEE Trans. Nucl. Sci. 62(4), 1898–1904 (2015)

    Article  Google Scholar 

  127. S.M. Jahinuzzaman, D.J. Rennie, M. Sachdev, A soft error tolerant 10T SRAM bit-cell with differential read capability. IEEE Trans. Nucl. Sci. 56(6), 3768–3773 (2009)

    Article  Google Scholar 

  128. N. Seifert et al., On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies, in 2010 IEEE International Reliability Physics Symposium (IRPS) (Anaheim, 2010), pp. 188–197

    Google Scholar 

  129. J.S. Kauppila et al., Utilizing device stacking for area efficient hardened SOI flip-flop designs, in 2014 IEEE International Reliability Physics Symposium (Waikoloa, 2014), pp. SE.4.1–SE.4.7

    Google Scholar 

  130. R.C. Quinn et al., Heavy ion SEU test data for 32 nm SOI flip-flops, in 2015 IEEE Radiation Effects Data Workshop (REDW) (Boston, 2015), pp. 1–5

    Google Scholar 

  131. A. Balasubramanian, B.L. Bhuva, J.D. Black, L.W. Massengill, RHBD techniques for mitigating effects of single-event hits using guard-gates. IEEE Trans. Nucl. Sci. 52(6), 2531–2535 (2005)

    Article  Google Scholar 

  132. H.B. Wang et al., An SEU-tolerant DICE latch design with feedback transistors. IEEE Trans. Nucl. Sci. 62(2), 548–554 (2015)

    Article  Google Scholar 

  133. K. Lilja et al., Single-event performance and layout optimization of flip-flops in a 28-nm bulk technology. IEEE Trans. Nucl. Sci. 60(4), 2782–2788 (2013)

    Article  Google Scholar 

  134. N. Gaspard et al., Soft error rate comparison of various hardened and non-hardened flip-flops at 28-nm node, in 2014 IEEE International Reliability Physics Symposium (Waikoloa, 2014), pp. SE.5.1–SE.5.5

    Google Scholar 

  135. A. Evans, M. Nicolaidis, S.J. Wen, T. Asis, Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops, in 2013 14th International Symposium on Quality Electronic Design (ISQED) (Santa Clara, 2013), pp. 727–732

    Google Scholar 

  136. H.B. Wang et al., Single-event transient sensitivity evaluation of clock networks at 28-nm CMOS technology. IEEE Trans. Nucl. Sci. 63(1), 385–391 (2016)

    Article  Google Scholar 

  137. S. Bhunia et al., Low Power Variation-Tolerant Design in Nanometer Silicon (Springer, 2011)

    Google Scholar 

  138. M.S. Gupta et al., Understanding voltage variations in chip multiprocessors using a distributed power delivery network, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (2007), pp. 1–6

    Google Scholar 

  139. L.D. Smith et al., Power distribution system design methodology and capacitor selection for modern CMOS technology. IEEE Trans. Adv. Packag. 22(3), 284–291 (2002)

    Article  Google Scholar 

  140. H.-M. Chen et al., Simultaneous power supply planning and noise avoidance in floorplan design. IEEE Trans. Comput. 24(4), 578–587 (2005)

    Article  Google Scholar 

  141. K.L. Wong et al., Enhancing microprocessor immunity to power supply noise with clock-data compensation. IEEE J. Solid-State Circuits 41(4), 749–758 (2006)

    Article  Google Scholar 

  142. T.R. Arabi et al., Design and validation of the Pentium III and Pentium 4 processors power delivery, in Proceedings of the VLSI Symposium (2002), pp. 220–223

    Google Scholar 

  143. M. Holtz et al., On-die CMOS voltage droop detection and dynamic compensation, in Proceedings of the Great Lakes Symposium on VLSI (2008), pp. 35–40

    Google Scholar 

  144. K. Bowman et al., Circuit techniques for dynamic variation tolerance, in Proceedings of the Design Automation Conference (2009), pp. 4–7

    Google Scholar 

  145. K. Bowman et al., Adaptive and resilient circuits for dynamic variation tolerance. IEEE Des. Test 30(6), 8–17 (2013)

    Article  Google Scholar 

  146. K. Wilcox et al., Streamroller module and adaptive clocking system in 28 nm CMOS. IEEE J. Solid-State Circuits 50(1), 24–34 (2014)

    Article  Google Scholar 

  147. K. Bowman et al., A 22 nm all-digital dynamically adaptive clock distribution for supply voltage droop tolerance. IEEE J. Solid-State Circuits 48(4), 907–916 (2013)

    Article  Google Scholar 

  148. D. Bull et al., A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation, in Proceedings of the IEEE International Solid-State Circuits Conference (2010), pp. 284–285

    Google Scholar 

  149. A. Grenat et al., Adaptive clocking system for improved power efficiency in a 28 nm x-86-64 microprocessor, in Proceedings of the IEEE International Solid-State Circuits Conference (2014), pp. 106–107

    Google Scholar 

  150. M.R.C.M. Berkelaar, J.A.G. Jess, Gate sizing in MOS digital circuits with linear programming, in Proceedings of the European Design Automation Conference, 1990., EDAC (Glasgow, 1990), pp. 217–221

    Google Scholar 

  151. H. Tennakoon, C. Sechen, Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step, in IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002 (2002), pp. 395–402

    Google Scholar 

  152. A.K. Murugavel, N. Ranganathan, Gate sizing and buffer insertion using economic models for power optimization, in Proceedings of the 17th International Conference on VLSI Design, 2004 (2004), pp. 195–200

    Google Scholar 

  153. P. Roche, J.L. Autran, G. Gasiot, D. Munteanu, Technology downscaling worsening radiation effects in bulk: SOI to the rescue, in 2013 IEEE International Electron Devices Meeting (Washington, DC, 2013), pp. 31.1.1–31.1.4

    Google Scholar 

  154. J. Tschanz et al., Adaptive frequency biasing techniques for tolerance to dynamic temperature-voltage variations and aging, in Proceedings of the IEEE International Solid-State Circuits Conference (2007), pp. 292–294

    Google Scholar 

  155. J. Zhao et al., Thermal aware voltage droop compensation for multicore architectures, in Proceedings of the Great Lakes Symposium on VLSI (2010), pp. 335–340

    Google Scholar 

  156. M.S. Gupta et al., An event guided approach to reducing voltage noise in processors, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (2009), pp. 160–165

    Google Scholar 

  157. K. Bowman et al., A 45 nm resilient microprocessor core for dynamic variation tolerance. IEEE J. Solid-State Circuits 46(1), 194–208 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Adrian Evans .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Cite this chapter

Evans, A., Hamdioui, S., Kaczer, B. (2018). Manufacturing Solutions. In: Ottavi, M., Gizopoulos, D., Pontarelli, S. (eds) Dependable Multicore Architectures at Nanoscale. Springer, Cham. https://doi.org/10.1007/978-3-319-54422-9_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-54422-9_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-54421-2

  • Online ISBN: 978-3-319-54422-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics