Skip to main content

Fundamentals of Graphene-Enabled Wireless On-Chip Networking

  • Chapter
  • First Online:
Modeling, Methodologies and Tools for Molecular and Nano-scale Communications

Abstract

In the broad sense of the term, nanonetworks may refer not just to networks composed of nanosized devices, but also to communication networks enabled by nanotechnology. Nanoscale communication techniques can be suitable to interconnect elements far larger than a few square micrometers in applications subject to strong size constraints or bandwidth requirements. Here, the concept Graphene-enabled Wireless Network-on-Chip (GWNoC) is introduced as a clear example of this category. In GWNoC, graphene plasmonic antennas are used to wirelessly communicate the components of a multicore processor, which are located in the same chip. This shared medium approach is opposed to current chip communication trends and aims to reduce many of the issues that hamper the development of scalable multiprocessor architectures. In this chapter, we describe the scenario and the communication requirements that justify the employment of nanonetworking techniques, as well as the main challenges that still need to be overcome in this new research avenue.

Ignacio Llatser is not with N3Cat anymore. He was with N3Cat at the time the book chapter was prepared. He is now in the industry.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. 802.15.3c-Part 15.3 (2009) Wireless medium access control (MAC) and physical layer (PHY) specifications for high rate wireless personal area networks (WPANs)—Amendment 2: Millimeter-wave-based alternative physical layer extension

    Google Scholar 

  2. Abadal S, Alarcón E, Lemme MC, Nemirovsky M, Cabellos-Aparicio A (2013) Graphene-enabled wireless communication for massive multicore architectures. IEEE Commun Mag 51(11):137–143

    Article  Google Scholar 

  3. Abadal S, Cabellos-Aparicio A, Lázaro JA, Nemirovsky M, Alarcón E, Solé-Pareta J (2013) Area and laser power scalability analysis in photonic networks-on-chip. In: Proceedings of the ONDM ’13

    Google Scholar 

  4. Abadal S, Iannazzo M, Nemirovsky M, Cabellos-Aparicio A, Alarcon E (2015) On the area and energy scalability of wireless network-on-chip: a model-based benchmarked design space exploration. IEEE/ACM Trans Netw 23(5):1501–1513

    Google Scholar 

  5. Abadal S, Llatser I, Mestres A, Lee H, Alarcón E, Cabellos-Aparicio A (2015) Time-domain analysis of graphene-based miniaturized antennas for ultra-short-range impulse radio communications. IEEE Trans Commun 63(4):1470–1482

    Google Scholar 

  6. Abadal S, Martínez R, Solé-Pareta J, Alarcón E, Cabellos-Aparicio A (2016) Characterization and modeling of multicast communication in cache-coherent manycore processors. Comput Electr Eng (51):168–183

    Google Scholar 

  7. Arslan H, Chen Z, Benedetto MD (2006) Ultra wideband wireless communication

    Google Scholar 

  8. Beausoleil RG, Kuekes PJ, Snider GS, Wang, SY, Williams RS (2008) Nanoelectronic and Nanophotonic Interconnect. Proc IEEE 96(2):230–247

    Google Scholar 

  9. Benini L, De Micheli G (2002) Networks on chips: a new SoC paradigm. Computer 35(1):70–78

    Google Scholar 

  10. Bienia C, Kumar S, Singh JP, Li K (2008) The parsec benchmark suite: characterization and architectural implications. In: Proceedings of the PACT ’08, pp 72–81. ACM

    Google Scholar 

  11. Binkert N, Sardashti S, Sen R, Sewell K, Shoaib M et al (2011) The gem5 simulator. ACM SIGARCH Comput Arch News 39(2):1

    Article  Google Scholar 

  12. Burns J, McIlrath L, Keast C, Lewis C, Loomis A, Warner K, Wyatt P (2001) Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip. In: IEEE ISSCC Dig Tech Papers:268–269

    Google Scholar 

  13. Cai W, White J, Brongersma M (2009) Compact, high-speed and power-efficient electrooptic plasmonic modulators. Nano Lett 9(12):4403–4411

    Article  Google Scholar 

  14. Chan J, Hendry G, Biberman A, Bergman K, Carloni LP (2010) PhoenixSim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings of the DATE ’10, pp 691–696

    Google Scholar 

  15. Chang MCF, Verbauwhede I, Chien C, Xu Z, Kim J, Ko J, Gu Q, Lai BC (2005) Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications. IEEE Trans Electron Devices 52(7):1271–1285

    Article  Google Scholar 

  16. Chang MF, Cong J, Kaplan A, Naik M, Reinman G, Socher E, Tam SW (2008) CMP Network-on-chip overlaid with multi-band RF-interconnect. In: Proceedings of the HPCA ’08, pp 191–202

    Google Scholar 

  17. David Culler AG (1999) Parallel computer architecture: a hardware/software approach

    Google Scholar 

  18. Deb S, Ganguly A, Pande PP, Belzer B, Heo D (2012) Wireless NoC as interconnection backbone for multicore chips: promises and challenges. IEEE J Emerg Sel Topics Circuits Syst (JETCAS) 2(2):228–239

    Article  Google Scholar 

  19. DiTomaso D, Kodi A, Matolak D (2013) Energy-efficient adaptive wireless NoCs architecture. In: Proceedings of the NoCS ’13, pp 1–8

    Google Scholar 

  20. Enright Jerger N, Peh LS, Lipasti M (2008) Virtual circuit tree multicasting: a case for on-chip hardware multicast support. In: Proceedings of the ISCA-35, pp 229–240

    Google Scholar 

  21. Feero BS, Pande PP (2009) Networks-on-Chip in a three-dimensional environment: a performance evaluation. IEEE Trans Comput 58(1):32–45

    Article  MathSciNet  Google Scholar 

  22. Ganguly A, Chang K, Deb S, Pande PP, Belzer B, Teuscher C (2010) Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans Comput 60(10):1485–1502

    Article  Google Scholar 

  23. Gorisse J, Morche D, Jantunen J (2012) Wireless transceivers for gigabit-per-second communications. In: Proceedings of the NEWCAS ’12, pp 545–548

    Google Scholar 

  24. Grischkowsky D, Keiding S, van Exter M, Fattinger C (1990) Far-infrared time-domain spectroscopy with terahertz beams of dielectrics and semiconductors. J Opt Soc Am 7(10):2006–2015

    Google Scholar 

  25. Han SJ, Garcia AV, Oida S, Jenkins KA, Haensch W (2014) Graphene radio frequency receiver integrated circuit. Nat Commun 5

    Google Scholar 

  26. Hanson GW (2008) Dyadic Green’s Functions for an Anisotropic, Non-Local Model of Biased Graphene. IEEE Transactions on Antennas and Propagation 56(3):747–757

    Article  Google Scholar 

  27. Hennessy J, Patterson D (2012) Computer architecture: a quantitative approach

    Google Scholar 

  28. Hesse R, Nicholls J, Jerger NE (2012) Fine-grained bandwidth adaptivity in networks-on-chip using bidirectional channels. In: Proceedings of the NoCS ’12, pp 132–141. IEEE

    Google Scholar 

  29. Hoskote Y, Vangal S, Singh A, Borkar N, Borkar S (2007) A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27(5):51–61

    Article  Google Scholar 

  30. Huang W, Rajamani K, Stan M, Skadron K (2011) Scaling with design constraints: predicting the future of big chips. IEEE Micro:16–29

    Google Scholar 

  31. Jornet JM, Akyildiz IF (2011) Channel modeling and capacity analysis for electromagnetic wireless nanonetworks in the terahertz band. IEEE Trans Wirel Commun 10(10):3211–3221

    Article  Google Scholar 

  32. Jornet JM, Akyildiz IF (2013) Graphene-based plasmonic nano-antenna for terahertz band communication in nanonetworks. IEEE J Sel Areas Commun 31(12):685–694

    Article  Google Scholar 

  33. Kahng A, Li B, Peh L, Samadi K (2009) Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In: Proceedings of the DATE ’09

    Google Scholar 

  34. Kim M, Rieh JS, Jeon S (2012) Recent progress in terahertz monolithic integrated circuits. In: Proceedings of the ISCAS ’12, pp 746–749

    Google Scholar 

  35. Krishna T, Peh LS (2011) Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. In: Proceedings of the MICRO-44, pp 71–82

    Google Scholar 

  36. Kurian G, Miller J, Psota J, Eastep J et al (2010) ATAC: A 1000-Core Cache-Coherent Processor with On-Chip Optical Network. In: Proceedings of the PACT ’10

    Google Scholar 

  37. Kürner T, Priebe S (2013) Towards THz communications—status in research, standardization and regulation. J Infrared, Millimeter Terahertz Waves 35(1):53–62

    Article  Google Scholar 

  38. Lee SB, Tam SW, Pefkianakis I, Lu S et al (2009) A scalable micro wireless interconnect structure for CMPs. In: Proceedings of the Mobicom ’09, p 217

    Google Scholar 

  39. Llatser I, Kremers C, Cabellos-Aparicio A, Jornet JM, Alarcón E, Chigrin DN (2012) Graphene-based nano-patch antenna for terahertz radiation. Photonics Nanostruct: Fund Appl 10(4):353–358

    Article  Google Scholar 

  40. Llatser I, Kremers C, Chigrin D, Jornet JM, Lemme MC, Cabellos-Aparicio A, Alarcón E (2012) Radiation characteristics of tunable graphennas in the terahertz band. Radioeng J 21(4)

    Google Scholar 

  41. Llatser I, Mestres A, Abadal S, Alarcón E, Lee H, Cabellos-Aparicio A (2015) Time and frequency domain analysis of molecular absorption in short-range terahertz communications. IEEE Antennas Wirel Propag Lett 14:350–353

    Google Scholar 

  42. Matolak D, Kaya S, Kodi A (2013) Channel modeling for wireless networks-on-chips. IEEE Commun Mag 51(6):180–186

    Article  Google Scholar 

  43. Matolak D, Kodi A, Kaya S, DiTomaso D, Laha S, Rayess W (2012) Wireless networks-on-chips: architecture, wireless channel, and devices. IEEE Wireless Commun 19(5):58–65

    Article  Google Scholar 

  44. Miller DAB (2009) Device requirements for optical interconnects to silicon chips. Proc IEEE 97(7):1166–1185

    Article  Google Scholar 

  45. Novack A, Liu Y, Ding R, Gould M, Baehr-jones T, Li Q, Yang Y, Zhang Y, Padmaraju K, Bergmen K, Lim AEJ, Lo GQ, Hochberg M (2013) A 30 GHz silicon photonic platform. In: Proceedings of the SPIE—Integrated optics: physics and simulations, vol 8781

    Google Scholar 

  46. O KK, Kim K, Floyd B, Mehta J, Yoon H, Hung CM, Bravo D, Dickson T, Guo X, Li R, Trichy N, Caserta J, Yang D, Bohorquez J, Seok E, Gao L, Sugavanam A, Lin JJ, Chen J, Brewer, JE (2005) On-chip antennas in silicon ICs and their application. IEEE Trans Electron Devices 52(7):1312–1323

    Google Scholar 

  47. Öjefors E, Grzyb J, Heinemann B, Tillack B, Pfeiffer UR (2011) A 820 GHz SiGe chipset for terahertz active imaging applications. In: Proceedings of the ISSCC ’11, pp 224–225

    Google Scholar 

  48. Park JD, Kang S, Thyagarajan S, Alon E, Niknejad A (2012) A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication. In: Proceedings of the VLSIC ’12, pp 48–49

    Google Scholar 

  49. Pande P, Grecu P, Jones C, Ivanov M, Saleh A (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans Comput 54(8):1025–1040

    Google Scholar 

  50. Rodrigo S, Flich J, Duato J, Hummel M (2008) Efficient unicast and multicast support for CMPs. In: Proceedings of the MICRO-41 pp 364–375

    Google Scholar 

  51. Ronne C, Thrane L, AŁstrand PO, Wallqvist A et al (1997) Investigation of the temperature dependence of dielectric relaxation in liquid water by THz reflection spectroscopy and molecular dynamics simulation. J Chem Phys 107(14):5319

    Google Scholar 

  52. Schinkel D, Mensink E (2009) Low-power, high-speed transceivers for network-on-chip communication. IEEE Trans VLSI Syst 17(1):12–21

    Article  Google Scholar 

  53. Seok E, Shim D, Mao C, Han R, Sankaran S, Cao C, Knap W (2010) Progress and challenges towards terahertz CMOS integrated circuits. IEEE J Solid-State Circuits 45(8):1554–1564

    Article  Google Scholar 

  54. Shacham A, Bergman K, Carloni LP (2008) Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans Comput 57(9):1246–1260

    Article  MathSciNet  Google Scholar 

  55. Socher E, Chang MCF (2007) Can RF Help CMOS processors? IEEE Commun Mag 45(8):104–111

    Article  Google Scholar 

  56. Soteriou V, Wang H, Peh LS (2006) A statistical traffic model for on-chip interconnection networks. In: Proceedings of the MASCOTS ’06

    Google Scholar 

  57. Stallo C, Mukherjee S (2010) IR-UWB for high bit rate communications beyond 60 GHz. In: Proceedings of the PIMRC ’10

    Google Scholar 

  58. Sun C, Chen C, Kurian G (2012) DSENT—a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In: Proceedings of the NoCS ’12, pp 201–210

    Google Scholar 

  59. Tamagnone M, Gomez-Díaz JS, Mosig JR, Perruisseau-Carrier J (2012) Analysis and design of terahertz antennas based on plasmonic resonant graphene sheets. J Appl Phys 112:114, 915

    Google Scholar 

  60. Tamagnone M, Gomez-Díaz JS, Mosig JR, Perruisseau-Carrier J (2012)Reconfigurable terahertz plasmonic antenna concept using a graphene stack. Appl Phys Lett 101(21), 214, 102

    Google Scholar 

  61. Urkowitz H (1967) Energy detection of unknown deterministic signals. Proc IEEE 55(4)

    Google Scholar 

  62. Vantrease D, Schreiber R, Monchiero M, McLaren M, Jouppi N, Fiorentino M, Davis A, Binkert N, Beausoleil R, Ahn J (2008) Corona: system implications of emerging nanophotonic technology. ACM SIGARCH Comput Architect News 36(3):153–164

    Article  Google Scholar 

  63. Witrisal K, Leus G, Janssen GJM, Pausini M, Troesch F, Zasowski T, Romme J (2009) Noncoherent ultra-wideband systems. IEEE Signal Process Mag 26(4):48–66

    Article  Google Scholar 

  64. Woo S, Ohara M, Torrie E, Singh J (1995) The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of the ISCA-22, vol 23, issue no 2, pp 24–36

    Google Scholar 

  65. Wu Y, Farmer DB, Xia F, Avouris P (2013) Graphene electronics: materials, devices, and circuits. Proc IEEE 101(7):1620–1637

    Article  Google Scholar 

  66. Zhang YP, Chen ZM, Sun M (2007) Propagation mechanisms of radio waves over intra-chip channels with integrated antennas: frequency-domain measurements and time-domain analysis. IEEE Trans Antennas Propag 55(10):2900–2906

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sergi Abadal .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Abadal, S., Llatser, I., Mestres, A., Solé-Pareta, J., Alarcón, E., Cabellos-Aparicio, A. (2017). Fundamentals of Graphene-Enabled Wireless On-Chip Networking. In: Suzuki, J., Nakano, T., Moore, M. (eds) Modeling, Methodologies and Tools for Molecular and Nano-scale Communications. Modeling and Optimization in Science and Technologies, vol 9. Springer, Cham. https://doi.org/10.1007/978-3-319-50688-3_13

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-50688-3_13

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-50686-9

  • Online ISBN: 978-3-319-50688-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics