Skip to main content

Design and Evaluation of Booth-Encoded Multipliers in Redundant Binary Representation

  • Chapter
  • First Online:

Abstract

Redundant binary (RB) representation possesses some figures of merit as an internal format for the design of datapath components due to its carry-free property and avoidance of sign extension. Being a non-classical representation, its worth in meeting conflicting VLSI goals has not been fully evaluated. This chapter presents a structural and systematic approach to the design and analysis of different Booth multipliers in RB representation by decomposing them into several key building blocks. The design considerations on each of these generic constituent modules and their logic circuits are first discussed qualitatively and independently before they are selectively fused into different configurations of Booth multipliers. To unify the heterogeneous fabrics designed with different coding formats together, simple anterior and posterior converters are derived for their interfacing. Altogether 21 different RB multiplier architectures have been constructed with various configurations of partial product encoding, generation and reduction to analyze their design trade-offs in terms of area, delay, and energy consumption. These multipliers have been implemented and compared for various VLSI metrics with six commonly used operand lengths varying from 8 bits to 64 bits. The intriguing augmentation and restriction between different architectural modules inferred from this comparative study are inspirational to innovative RB multiplier design. It is shown that a large design space can be explored from sensible topological combinations of different constituent modules of RB multiplier architecture for the desirable performance characteristics.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. H.-C. Chow, I.-C. Wey, A 3.3V 1 GHz high speed pipelined booth multiplier, in Processing of the 2002 IEEE International Symposium Circuits and Systems (ISCAS), vol. 1, Arizona, USA, May 2002, pp. 457–460

    Google Scholar 

  2. H. Edamatsu, T. Taniguchi, T. Nishiyama, S. Kuninobu, A 33 MFLOPS floating point processor using redundant binary representation, in 1988 IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, USA, Feb 1988, pp 152–153, 342–343

    Google Scholar 

  3. M. Tonomura, High-speed digital circuit of discrete cosine transform. IEICE Trans. Fundam. E78-A(8), 1342–1350 (1995)

    Google Scholar 

  4. Z. Yu, M.L. Yu, K. Azader, A.N. Willson Jr, A low power adaptive filter using dynamic reduced 2’s-complement representation, in Proceedings of the 2002 IEEE Custom Integrated Circuit Conference (CICC), Orlando, FL, USA, May 2002, pp. 141–144

    Google Scholar 

  5. N. Itoh, Y. Naemura, H. Makino, Y. Nakase, T. Yoshihara, Y. Horiba, A 600-MHz 54 × 54-bit multiplier with rectangular-styled Wallace tree. IEEE J. Solid-State Circuits 36(2), 249–257 (2001)

    Article  Google Scholar 

  6. S.-H. Lee, S.-J. Bae, H.-J. Park, A compact radix-64 54 × 54 CMOS redundant binary parallel multiplier. IEICE Trans. Electron. E85-C(6), 1342–1350 (2002)

    Google Scholar 

  7. J.-Y. Kang, J.-L. Gaudiot, A simple high-speed multiplier design. IEEE Trans. Comput. 55(10), 1253–1258 (2006)

    Article  Google Scholar 

  8. A. Vazquez, E. Antelo, J.D. Bruguera, Fast radix-10 multiplication using redundant BCD codes. IEEE Trans. Comput. 63, 1902–1914 (2014)

    Article  MathSciNet  Google Scholar 

  9. J. Gu, C.H. Chang, K.S. Yeo, Algorithm and architecture of a high density, low power scalar product macrocell. IEEE Proc. Comput. Digit Technol. 151(2), 161–172 (2004)

    Article  Google Scholar 

  10. S.F. Hsiao, M.R. Jiang, J.S. Yeh, Design of high-speed low-power 3–2 counter and 4–2 compressor for fast multipliers. Electron. Lett. 34(4), 341–343 (1998)

    Article  Google Scholar 

  11. Z. Wang, G. Jullien, W.C. Miller, A new design technique for column compression multipliers. IEEE Trans. Comput. 44(8), 962–970 (1995)

    Article  MATH  Google Scholar 

  12. H. Makino, Y. Nakase, H. Suzuki, H. Morinaka, H. Shinohara, K. Mashiko, An 8.8-ns 54 × 54-bit multiplier with high speed redundant binary architecture. IEEE J. Solid-State Circuits 31(6), 773–783 (1996)

    Article  Google Scholar 

  13. Y. Kim, B.-S. Song, J. Grosspietsch, S.F. Gillig, A carry-free 54b × 54b multiplier using equivalent bit conversion algorithm. IEEE J. Solid-State Circuits 36(10), 1538–1545 (2001)

    Article  Google Scholar 

  14. Y. Harata, Y. Nakamura, H. Nagase, M. Takigawa, N. Takagi, A high-speed multiplier using a redundant binary adder tree. IEEE J. Solid-State Circuits 22(1), 28–34 (1987)

    Article  Google Scholar 

  15. R. Kattamuri, S.K. Sahoo, Computation sharing multiplier using redundant binary arithmetic, in Proceedings of the 2010 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Kuala Lumpur, Malaysia, Dec 2010, pp. 108–111

    Google Scholar 

  16. T.-B. Juang, C.-C. Wei, C.H. Chang, Area-saving technique for low-error redundant binary fixed-width multiplier implementation, in Proceedings of the 2009 IEEE International Symposium on Integrated Circuits, Singapore, Dec 2009, pp. 550–553

    Google Scholar 

  17. S.K. Reddy, S.K. Sahoo, S. Chakraborty, A high speed, high Radix 32-bit Redundant parallel multiplier, in Proceedings 2011 IEEE International Conference on Emerging Trends in Electrical and Computer Technology, Nagercoil, India, Mar 2011, pp. 917–921

    Google Scholar 

  18. S.K. Sahoo, A. Ashati, R. Sahoo, C. Shekhar, A high-speed radix-64 parallel multiplier using a novel hardware implementation approach for partial product generation based on redundant binary arithmetic, in Proceedings of the 2008 IEEE International Conference on Emerging Trends in Engineering and Technology, Nagpur, India, July 2008, pp. 474–479

    Google Scholar 

  19. E.K.L. Surendran, P.R. Antony, Implementation of fast multiplier using modified radix-4 Booth algorithm with redundant binary adder for low energy applications, in Proceedings of the 2014 IEEE International Conference on Computational Systems and Communications, Trivandrum, Kerala, India, Dec 2014, pp. 266–271

    Google Scholar 

  20. S. Dutt, A. Chauhan, R. Bhadoriya, S. Nandi, G. Trivedi, A high-performance energy-efficient hybrid redundant MAC for error-resilient applications, in Proceedings of the 2015 International Conference VLSI Design, Bangalore, India, Jan 2015, pp. 351–356

    Google Scholar 

  21. A.M. Shams, T.K. Darwish, M.A. Bayoumi, Performance analysis of low-power 1-bit CMOS full adder cells. IEEE Trans. VLSI Syst. 10(1), 20–29 (2002)

    Article  Google Scholar 

  22. A. Avizienis, Signed-digit number representations for fast parallel arithmetic. IRE Trans. Electron. Comput. EC-10, 389–400 (1961)

    Article  MathSciNet  Google Scholar 

  23. N. Takagi, A high-speed multiplier with a regular cellular array structure using redundant binary representation, Technical Report R82-14, Yajima Lab, Department of Information Science, Kyoto University, Kyoto, Japan, Jun 1982

    Google Scholar 

  24. G.W. Bewick, Fast multiplication: algorithms and implementation, Ph.D. dissertation, Stanford University, Feb 1994

    Google Scholar 

  25. N. Besli, R.G. Deshmukh, A novel redundant binary signed-digit (RBSD) Booth’s encoding, in Proceedings of the 2002 IEEE Southeast Conference, Columbia, South Carolina, USA, Apr 2002, pp. 426–431

    Google Scholar 

  26. G.M. Blair, The equivalence of twos-complement addition and the con-version of redundant-binary to twos-complement numbers. IEEE Trans. Circuits Syst. I Regul. Pap. 45, 669–671 (1998)

    Article  Google Scholar 

  27. N. Takagi, H. Yasuura, S. Yajima, High-speed VLSI multiplication algorithm with a redundant binary addition tree. IEEE Trans. Comput. C-34(9), 789–796 (1985)

    Article  MATH  Google Scholar 

  28. Y. Harata, Y. Nakamura, H. Nagase, M. Takigawa, N. Takagi, High speed multiplier LSI using a redundant binary adder tree, in Proceedings of the 1984 IEEE International Conference Computer Design (ICCD’1984), New York, USA, Oct 1984

    Google Scholar 

  29. S. Kuninobu, T. Nishiyama, H. Edamatsu, T. Taniguchi, N. Takagi, Design of high-speed MOS multiplier and divider using redundant binary representation, in Proceedings of the 8th IEEE Symposium Computer Arithmetic, Como, Italy, May 1987, pp. 80–86

    Google Scholar 

  30. S. Kuninobu, T. Nishiyama, T. Taniguchi, High speed MOS multiplier and divider using redundant binary representation and their implementation in a microprocessor. IEICE Trans. Electron. E76-C(3), 436–445 (1993)

    Google Scholar 

  31. H. Makino, Y. Nakase, H. Shinohara, An 8.8-ns 54 × 54-bit multiplier using new redundant binary architecture, in Proceedings of the 1993 IEEE International Conference Design (ICCD’1993), Cambridge, Massachusetts, USA, Oct 1993, pp. 202–205

    Google Scholar 

  32. H. Makino, H. Suzuki, H. Morinaka, Y. Nakase, K. Mashiko, T. Sumi, A 286 MHz 64-b floating point multiplier with enhanced CG operation. IEEE J. Solid-State Circuits 31(4), 504–513 (1996)

    Article  Google Scholar 

  33. M.D. Ercegovac, T. Lang, Y. Kim, B.S. Song, J. Grosspietsch, S.F. Gillig, Comments on to ‘A carry-free 54b×54b multiplier using equivalent bit conversion algorithm’. IEEE J. Solid-State Circuits 38(1), 160–161 (2003)

    Article  Google Scholar 

  34. W. Rulling, A remark on carry-free binary multiplication. IEEE J. Solid-State Circuits 38(1), 159–160 (2003)

    Article  Google Scholar 

  35. I. Choo R.G. Deshmukh, A novel conversion scheme from a redundant binary number to two’s complement binary number for parallel architectures, in Proceedings of the 2001 IEEE Southeast Conference, Clemson, South Carolina, USA, Apr 2001, pp. 196–201

    Google Scholar 

  36. Y. He, C.H. Chang, A power-delay efficient hybrid carry-lookahead/carry-select based redundant binary to two’s complement converter. IEEE Trans. Circuits Syst. I Regul. Pap. 55(1), 336–346 (2008)

    Article  MathSciNet  Google Scholar 

  37. O.T.-C. Chen, L.-H. Chen, N.-W. Lin, C.-C. Chen, Application-specific data path for highly efficient computation of multistandard video codecs. IEEE Trans. Circuits Syst. Video Technol. 17(1), 26–42 (2007)

    Article  Google Scholar 

  38. S. Perri, P. Corsonello, G. Cocorullo, A 64-bit reconfigurable adder for low power media processing. Electron. Lett. 38(9), 397–399 (2002)

    Article  Google Scholar 

  39. N. Slingerland, A.J. Smith, Measuring the performance of multimedia instruction sets. IEEE Trans. Comput. 51(11), 1317–1332 (2002)

    Article  MathSciNet  Google Scholar 

  40. R. Fried, Minimizing energy dissipation in high-speed multipliers, in Proceedings of the 1997 IEEE International Symposium Low Power Electronics and Design, Monterey, California, USA, Aug 1997, pp. 214–219

    Google Scholar 

  41. W.-C. Yeh, C.-W. Jen, High-speed Booth encoded parallel multiplier design. IEEE Trans. Comput. 49(7), 692–701 (2000)

    Article  MathSciNet  Google Scholar 

  42. Y. He, C.H. Chang, A new redundant binary Booth encoding for fast 2n-bit multiplier design. IEEE Trans. Circuits Syst. I Regul. Pap. 56(6), 1192–1201 (2009)

    Article  MathSciNet  Google Scholar 

  43. Y. He, Design and analysis of redundant binary Booth multipliers, Ph.D. dissertation, the Nanyang Technological University, Jun 2007

    Google Scholar 

  44. TSMC 0.18μm Process 1.8-Volt SAGE-X™ Standard Cell Library Databook, Artisan Components, Inc., Oct 2001

    Google Scholar 

  45. Design Compiler User Guide, Synopsys, Inc., Sept 2003

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yajuan He .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

He, Y., Yang, J., Chang, CH. (2017). Design and Evaluation of Booth-Encoded Multipliers in Redundant Binary Representation. In: Molahosseini, A., de Sousa, L., Chang, CH. (eds) Embedded Systems Design with Special Arithmetic and Number Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-49742-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-49742-6_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-49741-9

  • Online ISBN: 978-3-319-49742-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics