Skip to main content

Logarithmic Number System and Its Application in FIR Filter Design

  • Chapter
  • First Online:
Embedded Systems Design with Special Arithmetic and Number Systems
  • 1056 Accesses

Abstract

This chapter discusses the Logarithmic Number System (LNS) and some aspects of its impact on signal processing hardware. The use of LNS leads to efficient precision versus dynamic range trade-offs, reduced complexity of certain arithmetic operations, and superior roundoff error behavior compared to linear arithmetic. In order to fully exploit the LNS potential, certain design parameters have to be optimally defined, so that the complexity of awkward operations, such as addition and subtraction, remains moderate. Furthermore retiming can efficiently optimize certain LNS circuits characterized by imbalanced paths. Benefits due to LNS are reviewed for FIR filter implementations and other DSP algorithms.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. T. Stouraitis, V. Paliouras, Considering the alternatives in low-power design. IEEE Circuits Devices 17 (4), 23–29 (2001)

    Article  Google Scholar 

  2. P.E. Landman, J.M. Rabaey, Architectural power analysis: the dual bit type method. IEEE Trans. VLSI Syst. 3 (2), 173–187 (1995)

    Article  Google Scholar 

  3. K.-H. Chen, T.-D. Chiueh, A low-power digit-based reconfigurable FIR filter. IEEE Trans. Circuits Syst. II: Express Briefs 53 (8), 617–621 (2006)

    Article  Google Scholar 

  4. E. Swartzlander, A. Alexopoulos, The sign/logarithm number system. IEEE Trans. Comput. 24 (12), 1238–1242 (1975)

    Article  MATH  MathSciNet  Google Scholar 

  5. M.G. Arnold, T.A. Bailey, J.R. Cowles, M.D. Winkel, Applying features of the IEEE 754 to sign/logarithm arithmetic. IEEE Trans. Comput. 41, 1040–1050 (1992)

    Article  Google Scholar 

  6. J. Coleman, C. Softley, J. Kadlec, R. Matousek, M. Tichy, Z. Pohl, A. Hermanek, N. Benschop, The European logarithmic microprocessor. IEEE Trans. Comput. 57 (4), 532–546 (2008)

    Article  MathSciNet  Google Scholar 

  7. V. Mahalingam, N. Ranganathan, Improving accuracy in Mitchell’s logarithmic multiplication using operand decomposition. IEEE Trans. Comput. 55 (12), 1523–1535 (2006)

    Article  Google Scholar 

  8. K. Johansson, O. Gustafsson, L. Wanhammar, Implementation of elementary functions for logarithmic number systems. IET Comput. Digit. Tech. 2 (4), 295–304 (2008) [Online]. Available: http://link.aip.org/link/?CDT/2/295/1

  9. M.G. Arnold, T.A. Bailey, J.R. Cowles, M.D. Winkel, Arithmetic co-transformations in the real and complex Logarithmic Number Systems. IEEE Trans. Comput. 47 (7), 777–786 (1998)

    Article  MathSciNet  Google Scholar 

  10. V.S. Dimitrov, G.A. Jullien, W.C. Miller, Theory and applications of the double-base number system. IEEE Trans. Comput. 48 (10), 1098–1106 (1999)

    Article  Google Scholar 

  11. R. Muscedere, V. Dimitrov, G. Jullien, W. Miller, Efficient techniques for binary-to-multidigit multidimensional logarithmic number system conversion using range-addressable look-up tables. IEEE Trans. Comput. 54 (3), 257–271 (2005)

    Article  Google Scholar 

  12. R.C. Ismail, J.N. Coleman, ROM-less LNS, in IEEE Symposium on Computer Arithmetic (2011), pp. 43–51

    Google Scholar 

  13. H. Fu, O. Mencer, W. Luk, FPGA designs with optimized logarithmic arithmetic. IEEE Trans. Comput. 59 (7), 1000–1006 (2010)

    Article  MathSciNet  Google Scholar 

  14. M. Arnold, S. Collange, A Real/Complex logarithmic number system ALU. IEEE Trans. Comput. 60 (2), 202–213 (2011)

    Article  MathSciNet  Google Scholar 

  15. R.E. Morley Jr., G.L. Engel, T.J. Sullivan, S.M. Natarajan, VLSI based design of a battery-operated digital hearing aid, in Proceedings of the IEEE International Conference on Acoustics, Speech and Signal Processing (1988), pp. 2512–2515

    Google Scholar 

  16. J.R. Sacha, M.J. Irwin, Number representation for reducing switched capacitance in subband coding, in Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) (1998), pp. 3125–3128

    Google Scholar 

  17. M.G. Arnold, Reduced power consumption for MPEG decoding with LNS, in Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP 02) (2002), pp. 65–67

    Google Scholar 

  18. B. Kang, N. Vijaykrishnan, M.J. Irwin, T. Theocharides, Power-efficient implementation of turbo decoder in SDR system, in Proceedings of the IEEE International SOC Conference (2004), pp. 119–122

    Google Scholar 

  19. P. Robertson, E. Villebrun, P. Hoeher, A comparison of optimal and sub-optimal MAP decoding algorithms operating in the log domain, in Proceedings IEEE International Conference on Communications, June 1995, pp. 1009–1013

    Google Scholar 

  20. H. Wang, H. Yang, D. Yang, Improved log-MAP decoding algorithm for turbo-like codes. Commun. Lett. IEEE 10 (3), 186–188 (2006)

    Article  Google Scholar 

  21. R. Peng, R.-R. Chen, Application of nonbinary LDPC codes for communication over fading channels using higher order modulations, in IEEE Global Telecommunications Conference, GLOBECOM ’06, December 2006, pp. 1–5

    Google Scholar 

  22. V. Paliouras, T. Stouraitis, Low-power properties of the Logarithmic Number System, in Proceedings of 15th Symposium on Computer Arithmetic (ARITH15), June 2001, pp. 229–236

    Google Scholar 

  23. V. Paliouras, T. Stouraitis, Logarithmic number system for low-power arithmetic, in Proceedings of International Workshop - Power and Timing Modeling, Optimization and Simulation (PATMOS 2000). Lecture Notes in Computer Science, vol. 1918 (2000), pp. 285–294

    Google Scholar 

  24. C. Basetas, I. Kouretas, V. Paliouras, Low-power digital filtering based on the logarithmic number system, in Proceedings of 17th Workshop on Power and Timing Modeling, Optimization and Simulation, Lecture Notes in Computer Science, vol. 4644 (2007), pp. 546–555

    Article  Google Scholar 

  25. I. Kouretas, C. Basetas, V. Paliouras, Low-power Logarithmic Number System addition/subtraction and their impact on digital filters, in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS’08), pp. 692–695 (2008)

    Google Scholar 

  26. I. Kouretas, C. Basetas, V. Paliouras, Low-power logarithmic number system addition/subtraction and their impact on digital filters. IEEE Trans. Comput. 62 (11), 2196–2209 (2013)

    Article  MathSciNet  Google Scholar 

  27. H. Henkel, Improved addition for the logarithmic number system. IEEE Trans. Acoust. Speech Signal Process. 37 (2), 301–303 (1989)

    Article  Google Scholar 

  28. D. Lewis, L. Yu, Algorithm design for a 30 bit integrated logarithmic processor, in Proceedings of the 9th Symposium on Computer Arithmetic, pp. 192–199 (1989)

    Google Scholar 

  29. J. Coleman, Simplification of table structure in logarithmic arithmetic. Electron. Lett. 31 (22), 1905–1906 (1995)

    Article  Google Scholar 

  30. V. Paliouras, T. Stouraitis, A novel algorithm for accurate logarithmic number system subtraction, in Proceedings of the 1996 IEEE Symposium on Circuits and Systems (ISCAS’96), vol. 4, May 1996, pp. 268–271

    Google Scholar 

  31. I. Orginos, V. Paliouras, T. Stouraitis, A novel algorithm for multi-operand Logarithmic Number System addition and subtraction using polynomial approximation, in Proceedings of the 1995 IEEE International Symposium on Circuits and Systems (ISCAS’95) (1995), pp. III.1992–III.1995

    Google Scholar 

  32. S. Collange, J. Detrey, F. de Dinechin, Floating-point or LNS: choosing the right arithmetic on an application basis, in Proceedings of the 9th Euromicro Conference on Digital System Design (DSD’06) (2006), pp. 197–203

    Google Scholar 

  33. P.D. Vouzis, S. Collange, M.G. Arnold, Cotransformation provides area and accuracy improvement in an HDL library for LNS subtraction, in Proceedings of the 10th Euromicro Conference on Digital System Design (DSD’07) (2007), pp. 85–93

    Google Scholar 

  34. J.-M. Muller, Elementary Functions – Algorithms and Implementation (Birkhäuser, Boston 1997)

    Book  MATH  Google Scholar 

  35. S. Paul, N. Jayakumar, S. Khatri, A fast hardware approach for approximate, efficient logarithm and antilogarithm computations. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17 (2), 269–277 (2009)

    Google Scholar 

  36. J. Kurokawa, T. Payne, S. Lee, Error analysis of recursive digital filters implemented with logarithmic number systems. IEEE Trans. Acoust. Speech Signal Process. 28 (6), 706–715 (1980)

    Article  Google Scholar 

  37. I. Koren, Computer Arithmetic Algorithms (Prentice-Hall, Englewood Cliffs, NJ, 1993)

    MATH  Google Scholar 

  38. V. Paliouras, Optimization of LNS operations for embedded signal processing applications, in IEEE International Symposium on Circuits and Systems (ISCAS), 2002), vol. 2 (2002), pp. II-744–II-747

    Google Scholar 

  39. D. Chandra, Error analysis of FIR filters implemented using logarithmic arithmetic. IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process. 45 (6), 744–747 (1998)

    Article  Google Scholar 

  40. S.W. Kwa, G.L. Engel, R.E. Morley, Quantization noise analysis of sign/logarithm data encoders when excited by speech or sinusoidal inputs. IEEE Trans. Signal Process. 48 (12), 3578–3581 (2000)

    Article  MathSciNet  Google Scholar 

  41. D.M. Lewis, Interleaved memory function interpolators with application to an accurate LNS arithmetic unit. IEEE Trans. Comput. 43 (8), 974–982 (1994)

    Article  MATH  Google Scholar 

  42. T. Stouraitis, Logarithmic Number System: theory, analysis and design. Ph.D. dissertation, University of Florida (1986)

    Google Scholar 

  43. A. Papoulis, Probability, Random Variables, and Stochastic Processes, 3rd ed. (McGraw-Hill, New York, 1991)

    MATH  Google Scholar 

  44. F. Taylor, R. Gill, J. Joseph, J. Radke, A 20 bit Logarithmic Number System processor. IEEE Trans. Comput. 37 (5), 190–199 (1988)

    Article  Google Scholar 

  45. M. Keating, D. Flynn, R. Aitken, A. Gibbons, K. Shi, Low Power Methodology Manual: For System-on-Chip Design (Springer Publishing Company, Incorporated, New York, 2007)

    Google Scholar 

  46. C.-H. Chang, J. Chen, A. Vinod, Information theoretic approach to complexity reduction of FIR filter design. IEEE Trans. Circuits Syst. – Part I 55 (8), 2310–2321 (2008)

    Article  MathSciNet  Google Scholar 

  47. M. Aktan, A. Yurdakul, G. Dundar, An algorithm for the design of low-power hardware-efficient FIR filters. IEEE Trans. Circuits Syst. – Part I 55 (6), 1536–1545 (2008)

    Article  MathSciNet  Google Scholar 

  48. T.K. Callaway, E.E. Swartzlander Jr., Power-delay characteristics of CMOS multipliers, in Proceedings of the 13th Symposium on Computer Arithmetic (ARITH13), July 1997, pp. 26–32

    Google Scholar 

  49. A. Brokalakis, V. Paliouras, Using the arithmetic representation properties of data to reduce the area and power consumption of FFT circuits for wireless OFDM systems, in 2011 IEEE Workshop on Signal Processing Systems (SiPS), October 2011, pp. 7–12

    Google Scholar 

  50. K. Maharatna, E. Grass, U. Jagdhold, A 64-point Fourier transform chip for high-speed wireless LAN application using OFDM. IEEE J. Solid-State Circuits 39 (3), 484–493 (2004)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Vassilis Paliouras .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Paliouras, V. (2017). Logarithmic Number System and Its Application in FIR Filter Design. In: Molahosseini, A., de Sousa, L., Chang, CH. (eds) Embedded Systems Design with Special Arithmetic and Number Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-49742-6_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-49742-6_10

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-49741-9

  • Online ISBN: 978-3-319-49742-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics