Skip to main content

The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio

  • Chapter
  • First Online:
Computing Platforms for Software-Defined Radio

Abstract

The advancement in performance of mobile devices goes hand in hand with increasing demand for communication bandwidth. In the past decade an almost unmanageable number of different wireless communication standards has emerged. In addition, the complexity of many of those standards has led to a steadily increasing demand for high performance modem signal processing. Future SDR baseband processing can significantly benefit from the massive parallelism provided by homogeneous many-core architectures. In this chapter, the CoreVA-MPSoC is presented as an example of an embedded hierarchical multiprocessor architecture for SDR processing. Parallelism is introduced at different levels of the CoreVA-MPSoC: basic building block is the resource-efficient VLIW processor CoreVA, providing fine-grained concurrency at the instruction level. Multiple CoreVA CPUs are combined within a CPU cluster and connected via a high speed, low latency interconnect. Finally, a dedicated Network on Chip is used to combine an arbitrary number of CPU clusters on a single chip. In addition to the hardware architecture, an MPSoC compiler for streaming applications is presented and utilized for the mapping of SDR applications to the CoreVA-MPSoC under throughput, latency, energy, and memory constraints.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    VLIW: Very Long Instruction Word.

  2. 2.

    NoC: Network-on-Chip.

  3. 3.

    MIMO: Multiple Input, Multiple Output.

  4. 4.

    DMA: Direct Memory Access.

  5. 5.

    SIMD: Single Instruction, Multiple Data.

  6. 6.

    RISC: Reduced Instruction Set Computing.

  7. 7.

    MAC: Multiply Accumulate.

  8. 8.

    ALU: Arithmetic Logic Unit.

  9. 9.

    NUMA: Non-Uniform Memory Access.

  10. 10.

    FIFO: First In First Out.

  11. 11.

    STMicroelectronics, 10 metal layer, Worst Case Corner: 1.0 V, 125 C.

  12. 12.

    ULP: Ultra Low Power.

  13. 13.

    OFDM: Orthogonal Frequency-Division Multiplexing.

References

  1. AMBA AXI and ACE Protocol Specification (2013). http://www.arm.com/products/system-ip/amba/

  2. Agarwal, A., Iskander, C., Shankar, R.: Survey of network on chip (NoC) architectures & contributions. J. Eng. Comput. Archit. 3 (1), 21–27 (2009)

    Google Scholar 

  3. Airoldi, R., Garzia, F., Anjum, O., Nurmi, J.: Homogeneous MPSoC as Baseband Signal Processing Engine for OFDM Systems. In: International Symposium on System on Chip (SoC), pp. 26–30 (2010). doi:10.1109/ISSOC.2010.5625562

  4. Ax, J., Sievers, G., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M.: System-level analysis of network interfaces for hierarchical MPSoCs. In: International Workshop on Network on Chip Architectures (NoCArc). ACM Press, New York (2015). doi:10.1145/2835512.2835513

    Google Scholar 

  5. Baer, J.L.: Microprocessor Architecture: From Simple Pipelines to Chip Multiprocessors. Cambridge University Press, Cambridge (2009)

    Book  MATH  Google Scholar 

  6. Benini, L., Flamand, E., Fuin, D., Melpignano, D.: P2012: building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 983–987. IEEE, New York (2012). doi:10.1109/DATE.2012.6176639

  7. Clermidy, F., Bernard, C., Lemaire, R., Martin, J., Miro-Panades, I., Thonnart, Y., Vivet, P., Wehn, N.: A 477mW NoC-based digital baseband for MIMO 4G SDR. In: International Solid-State Circuits Conference (ISSCC), pp. 278–279 (2010). doi:10.1109/ISSCC.2010.5433920

    Google Scholar 

  8. de Dinechin, B.D., et al.: A distributed run-time environment for the kalray MPPA-256 integrated manycore processor. In: Procedia Computer Science, pp. 1654–1663. Elsevier, Amsterdam (2013). doi:10.1016/j.procs.2013.05.333

  9. De Micheli, G., Seiculescu, C., Murali, S., Benini, L., Angiolini, F., Pullini, A.: Networks on chips: from research to products. In: Design Automation Conference (DAC), pp. 300–305. IEEE (2010). doi:10.1145/1837274.1837352

    Google Scholar 

  10. Demler, M.: Xtensa 10 plays well with ARM. Microprocess. Rep. 27 (10), 25–27 (2013)

    Google Scholar 

  11. E64G401 Epiphany 64-Core Microprocessor. Tech. rep., Adapteva, Inc. (2014). http://www.adapteva.com/epiphanyiv

  12. Flasskamp, M., Sievers, G., Ax, J., Klarhorst, C., Jungeblut, T., Kelly, W., Thies, M., Porrmann, M.: Performance estimation of streaming applications for hierarchical MPSoCs. In: Workshop on Rapid Simulation and Performance Evaluation (RAPIDO). ACM Press, New York (2016). doi:10.1145/2852339.2852342

    Google Scholar 

  13. Gardner, J.S.: Tensilica sets its sights on vision. Microprocess. Rep. 27 (3), 19 (2013)

    Google Scholar 

  14. Gordon, M.I., Thies, W., Amarasinghe, S.: Exploiting coarse-grained task, data, and pipeline parallelism in stream programs. In: ACM SIGPLAN Notices, vol 41, p 151. ACM, New York (2006). doi:10.1145/1168918.1168877

  15. Hübener, B., Sievers, G., Jungeblut, T., Porrmann, M., Rückert, U.: CoreVA: a configurable resource-efficient VLIW processor architecture. In: International Conference on Embedded and Ubiquitous Computing (EUC), pp. 9–16 (2014). doi:10.1109/EUC.2014.11

  16. Jungeblut, T., Ax, J., Porrmann, M., Rückert, U.: A TCMS-based architecture for GALS NoCs. In: International Symposium on Circuits and Systems (ISCAS), pp. 2721–2724. IEEE, New York (2012). doi:10.1109/ISCAS.2012.6271870

    Google Scholar 

  17. Jungeblut, T., Hübener, B., Porrmann, M., Rückert, U.: A systematic approach for optimized bypass configurations for application-specific embedded processors. ACM Trans. Embed. Comput. Syst. 13 (2) (2013). doi:10.1145/2514641.2514645

    Google Scholar 

  18. Kelly, W., Flasskamp, M., Sievers, G., Ax, J., Chen, J., Klarhorst, C., Ragg, C., Jungeblut, T., Sorensen, A.: A communication model and partitioning algorithm for streaming applications for an embedded MPSoC. In: International Symposium on System-on-Chip (SoC). IEEE, New York (2014). doi:10.1109/ISSOC.2014.6972436

    Google Scholar 

  19. Lattner, C., Adve, V.: LLVM: a compilation framework for lifelong program analysis & transformation. In: International Symposium on Code Generation and Optimization (CGO), pp. 75–86. IEEE, New York (2004). doi:10.1109/CGO.2004.1281665

  20. Ludovici, D., Strano, A., Bertozzi, D., Benini, L., Gaydadjiev, G.N.: Comparing tightly and loosely coupled mesochronous synchronizers in a NoC switch architecture. In: International Symposium on Networks-on-Chip, pp. 244–249. IEEE Computer Society, Silver Spring, MD (2009). doi:10.1109/NOCS.2009.5071473

  21. Lütkemeier, S., Jungeblut, T., Porrmann, M., Rückert, U.: A 200 mV 32b subthreshold processor with adaptive supply voltage control. In: International Solid-State Circuits Conference (ISSCC), vol. 57, pp. 484–485 (2012). doi:10.1109/ISSCC.2012.6177101

  22. Lütkemeier, S., Jungeblut, T., Kristian, H., Berge, O., Aunet, S., Porrmann, M., Rückert, U.: A 65 nm 32 b subthreshold processor with 9T multi-Vt SRAM and adaptive supply voltage control. J. Solid-State Circ. 48 (1), 8–19 (2013). doi:10.1109/JSSC.2012.2220671

  23. Marescaux, T., Brockmeyer, E., Corporaal, H.: The impact of higher communication layers on NoC supported MP-SoCs. International Symposium on Networks-on-Chip (NOCS) pp. 107–116 (2007). doi:10.1109/NOCS.2007.41

  24. Noethen, B., Arnold, O., Adeva, E.P., Seifert, T., Fischer, E., Kunze, S., Matus, E., Fettweis, G., Eisenreich, H., Ellguth, G., Hartmann, S., Hoppner, S., Schiefer, S., Schlusler, J.U., Scholze, S., Walter, D., Schuffny, R.: A 105GOPS 36mm2 heterogeneous SDR MPSoC with energy-aware dynamic scheduling and iterative detection-decoding for 4G in 65nm CMOS. In: International Solid-State Circuits Conference (ISSCC), pp. 188–189. IEEE, New York (2014). doi:10.1109/ISSCC.2014.6757394

    Google Scholar 

  25. Olsson, T., Carlsson, A., Wilhelmsson, L., Eker, J., von Platen, C., Diaz, I.: A reconfigurable OFDM inner receiver implemented in the CAL dataflow language. In: International Symposium on Circuits and Systems (ISCAS), pp. 2904–2907 (2010). doi:10.1109/ISCAS.2010.5538042

  26. OpenCores Project. http://opencores.org/

  27. Park, J., Balfour, J., Dally, W.J.: Fine-grain dynamic instruction placement for L0 scratch-pad memory. In: International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), p. 137. ACM Press, New York (2010). doi:10.1145/1878921.1878943

  28. Pasricha, S., Dutt, N.: On-Chip Communication Architectures: System on Chip Interconnect. Morgan Kaufmann, Los Altos, CA (2009)

    Google Scholar 

  29. Ramacher, U., Raab, W., Hachmann, U., Langen, D., Berthold, J., Kramer, R., Schackow, A., Grassmann, C., Sauermann, M., Szreder, P., Capar, F., Obradovic, G., Xu, W., Bruls, N., Lee, K., Weber, E., Kuhn, R., Harrington, J.: Architecture and implementation of a software-defined radio baseband processor. In: International Symposium on Circuits and Systems (ISCAS), pp. 2193–2196. IEEE, New York (2011). doi:10.1109/ISCAS.2011.5938035

  30. Sankarayya, N., Roy, K., Bhattacharya, D.: Algorithms for low power and high speed FIR filter realization using differential coefficients. Trans. Circ. Syst. II: Anal. Digit. Signal Process. 44 (6), 488–497 (1997). doi:10.1109/82.592582

    Article  Google Scholar 

  31. Sievers, G., Christ, P., Einhaus, J., Jungeblut, T., Porrmann, M., Rückert, U.: Design-space exploration of the configurable 32 bit VLIW processor coreVA for signal processing applications. In: Norchip Conference, November 2013. IEEE, New York (2013). doi:10.1109/NORCHIP.2013.6702002

  32. Sievers, G., Ax, J., Kucza, N., Flasskamp, M., Jungeblut, T., Kelly, W., Porrmann, M., Rückert, U.: Evaluation of interconnect fabrics for an embedded MPSoC in 28 nm FD-SOI. In: International Symposium on Circuits and Systems (ISCAS) (2015). doi:10.1109/ISCAS.2015.7169049

  33. Sievers, G., Daberkow, J., Ax, J., Flasskamp, M., Kelly, W., Jungeblut, T., Porrmann, M., Rückert, U.: Comparison of shared and private L1 data memories for an embedded MPSoC in 28 nm FD-SOI. In: International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), September 2015. IEEE, New York (2015). doi:10.1109/MCSoC.2015.25

  34. Thies, W., Karczmarek, M., Amarasinghe, S.: StreamIt: a language for streaming applications. In: International Conference on Compiler Construction, vol. 2304, pp. 179–196. Springer, Berlin (2002). doi:10.1007/3-540-45937-5_14

  35. van Berkel, C.H.: Multi-core for mobile phones. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1260–1265. IEEE, New York (2009)

    Google Scholar 

Download references

Acknowledgements

This research was supported by the ATN—DAAD Joint Research Co-operation Scheme: Tightly Coupled Software Tools and Adaptable Hardware for Resource Efficient Multiprocessor Architectures, the DFG CoE 277: Cognitive Interaction Technology (CITEC), and the German Federal Ministry of Education and Research (BMBF) within the projects KogniHome (16SV7054K), Treufunk (16KISO236), and the Leading-Edge Cluster “Intelligent Technical Systems OstWestfalenLippe” (it’s OWL), managed by the Project Management Agency Karlsruhe (PTKA). The authors are responsible for the contents of this publication.

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Sievers, G. et al. (2017). The CoreVA-MPSoC: A Multiprocessor Platform for Software-Defined Radio. In: Hussain, W., Nurmi, J., Isoaho, J., Garzia, F. (eds) Computing Platforms for Software-Defined Radio. Springer, Cham. https://doi.org/10.1007/978-3-319-49679-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-49679-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-49678-8

  • Online ISBN: 978-3-319-49679-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics