Skip to main content

Code Coverage Analysis for IP Trust Verification

  • Chapter
  • First Online:

Abstract

Due to short time-to-market constraints, design house is increasing being dependent on third-party vendors to procure IPs. These IPs are designed by hundreds of IP vendors distributed across the world. Such IPs cannot be assumed to be trusted as hardware Trojans can be maliciously inserted into them and could be used in military, financial, and other critical applications. It is extremely difficult to detect Trojans in third-party IPs (3PIPs) as there is no golden version against which to compare a given IP core during verification. In this chapter,

  • We present the modern system-on-chip (SoC) design flow and describe how it raises security concern towards the trustworthiness of third-party IP.

  • We give a brief description of the techniques which have been proposed to verify the trustworthiness of third-party IP and also describe their limitations.

  • We present a case study that tries to address the IP trust verification problem. This case study is based on identifying suspicious signals with formal verification, coverage analysis, removing redundant circuit, sequential automatic test pattern generation (ATPG), and equivalence theorems.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Report of the Defense Science Board Task Force on High Performance Microchip Supply, Defense Science Board, US DoD (2005), http://www.acq.osd.mil/dsb/reports/2005-02-HPMSi_Report_Final.pdf

  2. M. Tehranipoor, F. Koushanfar, A survey of hardware Trojan taxonomy and detection. IEEE Des. Test Comput. 27 (1), 10–25 (2010)

    Article  Google Scholar 

  3. M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, New York, 2011)

    Google Scholar 

  4. H. Salmani, X. Zhang, M. Tehranipoor, Integrated Circuit Authentication: Hardware Trojans and Counterfeit Detection (Springer, Cham, 2013)

    Google Scholar 

  5. X. Zhang, M. Tehranipoor, Case study: detecting hardware Trojans in third-party digital IP cores, in Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2011)

    Google Scholar 

  6. VSI Alliance, VSI Alliance Architecture Document: Version 1.0 (1997)

    Google Scholar 

  7. DIGITIMES. Trends in the global IC design service market (2012). Retrieved from http://www.digitimes.com/news/a20120313RS400.html?chid=2

  8. M. Tehranipoor, et al., Trustworthy hardware: Trojan detection and design-for-trust challenges. Computer 44 (7), 66–74 (2011)

    Article  Google Scholar 

  9. K. Xiao, D. Forte, Y. Jin, R. Karri, S. Bhunia, M. Tehranipoor, Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22 (1), Article 6 (2016)

    Google Scholar 

  10. M. Bilzor, T. Huffmire, C. Irvine, T. Levin, Evaluating security requirements in a general-purpose processor by combining assertion checkers with code coverage, in IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2012)

    Google Scholar 

  11. C. Sturton, M. Hicks, D. Wagner, S. King, Defeating UCI: building stealthy and malicious hardware, in 2011 IEEE Symposium on Security and Privacy (SP) (2011), pp. 64–77

    Google Scholar 

  12. C. Cadar, D. Dunbar, D.R. Engler, Klee: unassisted and automatic generation of high-coverage tests for complex systems programs, in Proceedings of the 2008 USENIX Symposium on Operating Systems Design and Implementation (2008)

    Google Scholar 

  13. A. Biere, A. Cimatti, E. Clarke, M. Fujita, Y. Zhu, Symbolic model checking using SAT procedures instead of BDDs, in Proceedings of the ACM/IEEE Annual Design Automation Conference (1999), pp. 317–320

    Google Scholar 

  14. A.C. Myers, B. Liskov, A decentralized model for information flow control, in Proceedings of the 1997 Symposium on Operating Systems Principles (1997)

    Google Scholar 

  15. E. Love, Y. Jin, Y. Makris, Proof-carrying hardware intellectual property: a pathway to trusted module acquisition. IEEE Trans. Inf. Forensics Secur. 7 (1), 25–40 (2012)

    Article  Google Scholar 

  16. Y. Jin, B. Yang, Y. Makris, Cycle-accurate information assurance by proof-carrying based signal sensitivity tracing, in IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2013)

    Google Scholar 

  17. G. Xiaolong, R.G. Dutta, Y. Jin, F. Farahmandi, P. Mishra, Pre-silicon security verification and validation: a formal perspective, in Proceedings of the 52nd Annual Design Automation Conference (ACM, New York, 2015), p. 145

    Google Scholar 

  18. S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware Trojan attacks: threat analysis and countermeasures. Proc. IEEE 102 (8), 1229–1247 (2014)

    Article  Google Scholar 

  19. J. Rajendran, V. Vedula, R. Karri, Detecting malicious modifications of data in third-party intellectual property cores, in Design Automation Conference (DAC) (2015)

    Google Scholar 

  20. J. Rajendran, A.M. Dhandayuthapany, V. Vedula, R. Karri, Formal security verification of third party intellectual property cores for information leakage, in 29th International Conference on VLSI Design (2016)

    Google Scholar 

  21. H. Salmani, M. Tehranipoor, Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level, in IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2013), pp. 190–195

    Google Scholar 

  22. H. Salmani, R. Karri, M. Tehranipoor, On design vulnerability analysis and trust benchmarks development, in Proceedings of IEEE 31st International Conference on Computer Design (ICCD) (2013), pp. 471–474

    Google Scholar 

  23. M. Tehranipoor, H. Salmani, X. Zhang, Integrated Circuit Authentication: Hardware Trojans and Counterfeit Detection (Springer, Cham, 2013)

    Google Scholar 

  24. S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware Trojan attacks: threat analysis and countermeasures. Proc. IEEE 102 (8), 1229–1247 (2014)

    Article  Google Scholar 

  25. R.S. Chakraborty, F. Wolff, S. Paul, C. Papachristou, S. Bhunia, MERO: a statistical approach for hardware Trojan detection, in Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems (CHES’09) (2009)

    Google Scholar 

  26. A. Waksman, M. Suozzo, S. Sethumadhavan, FANCI: identification of stealthy malicious logic using Boolean functional analysis, in Proceedings of the ACM Conference on Computer and Communications Security (2013), pp. 697–708

    Google Scholar 

  27. J. Zhang, F. Yuan, L. Wei, Z. Sun, Q. Xu, VeriTrust: verification for hardware trust, in Proceedings of the 50th ACM/EDAC/IEEE Design Automation Conference (2013), pp. 1–8

    Google Scholar 

  28. J. Zhang, F. Yuan, Q. Xu, DeTrust: defeating hardware trust verification with stealthy implicitly-triggered hardware Trojans, in Proceedings of the ACM Conference on Computer and Communications Security (2014), pp. 153–166

    Google Scholar 

  29. J. Rajendran, O. Sinanoglu, R. Karri, Building trustworthy systems using untrusted components: a high-level synthesis approach. IEEE Trans. Very Large Scale Integr. VLSI Syst. 24 (9), 2946–2959 (2016)

    Article  Google Scholar 

  30. Synopsys, The Synopsys Verification Avenue Technical Bulletin, vol. 4, issue 4 (2004)

    Google Scholar 

  31. I. Ugarte, P. Sanchez, Formal meaning of coverage metrics in simulation-based hardware design verification, in IEEE International High Level Design Validation and Test Workshop (HLDVT) (IEEE, Napa Valley, 2005)

    Google Scholar 

  32. M. Bushnell, V. Vishwani, Essentials of Electronic Testing for Digital, Memory and Mixed Signal VLSI Circuits, vol. 17 (Springer Science & Business Media, 2000)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Adib Nahiyan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Nahiyan, A., Tehranipoor, M. (2017). Code Coverage Analysis for IP Trust Verification. In: Mishra, P., Bhunia, S., Tehranipoor, M. (eds) Hardware IP Security and Trust. Springer, Cham. https://doi.org/10.1007/978-3-319-49025-0_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-49025-0_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-49024-3

  • Online ISBN: 978-3-319-49025-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics