Skip to main content

Results

  • Chapter
  • First Online:
  • 587 Accesses

Abstract

Tables 6.1, 6.3, and 6.4 present the results of our lifetime evaluation scheme for the set of library cells considering the output, Vdd, and Vss pin placement, respectively, at 2 GHz. The best and worst TTF values correspond to the largest and smallest lifetimes over all pin candidates. The TTF is calculated for two different switching activities (α) of 50 and 100 % of the clock frequency: although few cells in a layout switch frequently, it is likely one of these cells that could be an EM bottleneck. The 100 % switching case is a clear upper bound on the lifetime of the cell: typical cells, even worst-case cells, switch at a significantly lower rate, except on always-on networks such as core elements of the clock network. The tables show that the pin position is important: choosing a good pin position could better balance current flow and improve EM lifetime. It can be noted that the worst TTFs for the X16 cells are extremely small: this is due to the large number of pin choices for such cells, and due to the effects of large currents associated with specific pin positions, as well as divergence effects.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  • ITRS (2011) International technology roadmap for semiconductors. Available at: http://www.itrs.net/reports.html. Visited on: Mar 2014

  • Lienig J (2013) Electromigration and its impact on physical design in future technologies. In: ACM international symposium on physical design, ISPD 2013, pp 33–40

    Google Scholar 

  • Posser G, Mishra V, Reis R, Sapatnekar SS (2014c) Analyzing the electromigration effects on different metal layers and different wire lengths. In: 21st IEEE international conference on electronics, circuits and systems, ICECS 2014, Marseille, pp 682–685

    Google Scholar 

  • Yeric G, Cline B, Sinha S, Pietromonaco D, Chandra V, Aitken R (2013) The past present and future of design-technology co-optimization. In: IEEE custom integrated circuits conference, CICC 2013, IEEE, pp 1–8

    Google Scholar 

  • Zhao W, Cao Y (2007) Predictive technology model for nano-cmos design exploration. J Emerg Technol Comput Syst 3(1):1. doi:http://doi.acm.org/10.1145/1229175.1229176

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Posser, G., Sapatnekar, S.S., Reis, R. (2017). Results. In: Electromigration Inside Logic Cells. Springer, Cham. https://doi.org/10.1007/978-3-319-48899-8_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-48899-8_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-48898-1

  • Online ISBN: 978-3-319-48899-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics