Skip to main content

Direct Cu to Cu Bonding and Other Alternative Bonding Techniques in 3D Packaging

  • Chapter
  • First Online:
Book cover 3D Microelectronic Packaging

Part of the book series: Springer Series in Advanced Microelectronics ((MICROELECTR.,volume 57))

Abstract

This chapter provides insights into direct Cu to Cu bonding and summarizes several critical empirical results. After comparing the solder-less Cu–Cu bonding with the solder-based bonding, we introduce various Cu-Cu stacking/bonding schemes for different three-dimensional (3D) integration applications. We then review various methods of low-temperature Cu–Cu bonding including: (a) thermo-compression bonding (diffusion bonding), (b) Cu-Cu bonding with passivation capping layers, (c) surface activated bonding (SAB), and (d) alternative bonding methods (Cu/dielectric hybrid bonding and Cu–Cu insertion bonding). The effects of surface activation, surface microstructures and characteristics, and surface passivation for Cu–Cu bonding are highlighted and discussed to understand how the bonding behavior depends on Cu surface cleanness, diffusion, temperature, compression pressure, and bonding atmosphere. Lastly, we introduce the commercial equipment for Cu–Cu bonding for high-volume manufacturing briefly and summarize with recommendations for future directions. 

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 199.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    Process time targets depend on stacking process, e.g., die-to-die (D2D) = chip-to-chip (C2C) and die-to-wafer (D2W) = chip-to-wafer (C2W) or wafer-to-wafer (W2W) processes, equipment/process throughput and manufacturing models. For Cu-Cu process to be viable in manufacturing, it needs to offer new capabilities and/or lower cost versus established solder-based processes.

References

  1. A. Fan, A. Rahman, R. Reif, Copper wafer bonding. Electrochem. Solid State Lett. 2, 534–536 (1999). doi:10.1149/1.1390894

    Article  Google Scholar 

  2. A. Shigetou, N. Hosoda, T. Itoh, T. Suga, Room-temperature direct bonding of CMP-Cu film for bumpless interconnection. In 51st Electronic Components and Technology Conference, 2001 , Orlando, pp. 755–760

  3. P.R. Morrow, C.-M. Park, S. Ramanathan, M.J. Kobrinsky, M. Harmes, Three-dimensional wafer stacking via Cu-Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology. IEEE Electron Dev. Lett. 27, 335–337 (2006). doi:10.1109/LED.2006.873424

    Article  Google Scholar 

  4. A. Shigetou, T. Itoh, M. Matsuo, N. Hayasaka, K. Okumura, T. Suga, Bumpless interconnect through ultrafine Cu electrodes by means of surface-activated bonding (SAB) method. IEEE Trans. Adv. Packag. 29, 218–226 (2006). doi:10.1109/TADVP.2006.873138

    Article  Google Scholar 

  5. B. Swinnen, W. Ruythooren, P.D. Moor, L. Bogaerts, L. Carbonell, K.D. Munck, B. Eyckens, S. Stoukatch, T. Tezcan, Z. Tokei, J. Vaes, J.V. Aelst, E. Beyne, 3D integration by Cu-Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10μm pitch through-Si vias. In 2006 International Electron Devices Meeting (IEDM) 2006, pp. 1–4

  6. Tezzaron Company History. http://www.tezzaron.com/about-us/company-history. Accessed 18 June 2016

  7. G.W. Deptuch, M. Demarteau, J.R. Hoff, R. Lipton, A. Shenai, M. Trimpl, R. Yarema, T. Zimmerman, Vertically integrated circuits at Fermilab. IEEE Trans. Nucl. Sci. 57, 2178–2186 (2010). doi:10.1109/TNS.2010.2049659

    Article  Google Scholar 

  8. R. Yarema, G. Deptuch, J. Hoff, F. Khalid, R. Lipton, A. Shenai, M. Trimpl, T. Zimmerman, Vertically integrated circuit development at Fermilab for detectors. J. Instrum. 8, C01052 (2013). doi:10.1088/1748-0221/8/01/C01052

    Article  Google Scholar 

  9. Chipworks (2016) Samsung Galaxy S7 Edge Teardown Report

    Google Scholar 

  10. M. Higashiwaki, K. Sasaki, T. Kamimura, M.H. Wong, D. Krishnamurthy, A. Kuramata, T. Masui, S. Yamakoshi, Depletion-mode Ga2O3 metal-oxide-semiconductor field-effect transistors on β-Ga2O3 (010) substrates and temperature dependence of their device characteristics. Appl. Phys. Lett. 103, 123511 (2013). doi:10.1063/1.4821858

    Article  Google Scholar 

  11. T. Fukushima, Y. Yamada, H. Kikuchi, M. Koyanagi, New three-dimensional integration technology using self-assembly technique. In IEEE International Devices Meeting, 2005 IEDM Technical Digest, Washington, 2005, pp. 348–351

    Google Scholar 

  12. Y.-S. Tang, Y.-J. Chang, K.-N. Chen, Wafer-level Cu–Cu bonding technology. Microelectron. Reliab. 52, 312–320 (2012). doi:10.1016/j.microrel.2011.04.016

    Article  Google Scholar 

  13. C.S. Tan, R. Reif, N.D. Theodore, S. Pozder, Observation of interfacial void formation in bonded copper layers. Appl. Phys. Lett. 87, 201909 (2005). doi:10.1063/1.2130534

    Article  Google Scholar 

  14. B. Rebhan, T. Plach, S. Tollabimazraehno, V. Dragoi, M. Kawano, Cu-Cu wafer bonding: an enabling technology for three-dimensional integration. In 2014 International Conference on Electronics Packaging (ICEP), 2014, pp. 475–479

    Google Scholar 

  15. B. Rebhan, S. Tollabimazraehno, G. Hesser, V. Dragoi, Analytical methods used for low temperature Cu–Cu wafer bonding process evaluation. Microsyst. Technol. 21, 1003–1013 (2015). doi:10.1007/s00542-015-2446-2

    Article  Google Scholar 

  16. W. Yang, M. Akaike, M. Fujino, T. Suga, A combined process of formic acid pretreatment for low-temperature bonding of copper electrodes. ECS J. Solid State Sci. Technol. 2, P271–P274 (2013). doi:10.1149/2.010306jss

    Article  Google Scholar 

  17. W. Yang, M. Akaike, T. Suga, Effect of formic acid vapor in situ treatment process on Cu low-temperature bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 4, 951–956 (2014). doi:10.1109/TCPMT.2014.2315761

    Article  Google Scholar 

  18. P.-I. Wang, S.H. Lee, T.C. Parker, M.D. Frey, T. Karabacak, J.-Q. Lu, T.-M. Lu, Low temperature wafer bonding by copper nanorod array. Electrochem. Solid State Lett. 12, H138–H141 (2009). doi:10.1149/1.3075900

    Article  Google Scholar 

  19. T. Ishizaki, R. Watanabe, A new one-pot method for the synthesis of Cu nanoparticles for low temperature bonding. J. Mater. Chem. 22, 25198–25206 (2012). doi:10.1039/C2JM34954J

    Article  Google Scholar 

  20. C.-M. Liu, H.-W. Lin, Y.-S. Huang, Y.-C. Chu, C. Chen, D.-R. Lyu, K.-N. Chen, K.-N. Tu, Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu. Sci. Rep. 5, 9734 (2015). doi:10.1038/srep09734

    Article  Google Scholar 

  21. T. Shimatsu, M. Uomoto, Atomic diffusion bonding of wafers with thin nanocrystalline metal films. J. Vac. Sci. Technol. B 28, 706–714 (2010). doi:10.1116/1.3437515

    Article  Google Scholar 

  22. T. Shimatsu, M. Uomoto, Room temperature bonding of wafers with thin nanocrystalline metal films. ECS Trans. 33, 61–72 (2010). doi:10.1149/1.3483494

    Article  Google Scholar 

  23. V. Smet, M. Kobayashi, T. Wang, P.M. Raj, R. Tummala, A new era in manufacturable, low-temperature and ultra-fine pitch Cu interconnections and assembly without solders. In 2014 64th Electronic Components Technology Conference (ECTC), pp. 484–489

    Google Scholar 

  24. C.S. Tan, D.F. Lim, S.G. Singh, S.K. Goulet, M. Bergkvist, Cu–Cu diffusion bonding enhancement at low temperature by surface passivation using self-assembled monolayer of alkane-thiol. Appl. Phys. Lett. 95, 192108 (2009). doi:10.1063/1.3263154

    Article  Google Scholar 

  25. D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Surface passivation of Cu for low temperature 3D wafer bonding. ECS Solid State Lett. 1, P11–P14 (2012)

    Article  Google Scholar 

  26. D.F. Lim, J. Wei, K.C. Leong, C.S. Tan, Cu passivation for enhanced low temperature (⩽300°C) bonding in 3D integration. Microelectron. Eng. 106, 144–148 (2013). doi:10.1016/j.mee.2013.01.032

    Article  Google Scholar 

  27. L. Peng, L. Zhang, J. Fan, H.Y. Li, D.F. Lim, C.S. Tan, Ultrafine pitch (6 μm) of recessed and bonded Cu-Cu interconnects by three-dimensional wafer stacking. IEEE Electron Dev. Lett. 33, 1747–1749 (2012). doi:10.1109/LED.2012.2218273

    Article  Google Scholar 

  28. E. Beyne E, The Minerals, Metals & Materials Society, Thiol-based Self-Assembled Monolayers (SAMs) as an alternative surface finish for 3D Cu microbumps. In TMS 2015 Supplemental Proceedings. Wiley, Orlando, 2015, pp. 1353–1360

    Google Scholar 

  29. Y.-P. Huang, Y.-S. Chien, R.-N. Tzeng, M.-S. Shy, T.-H. Lin, K.-H. Chen, C.-T. Chiu, J.-C. Chiou, C.-T. Chuang, W. Hwang, H.-M. Tong, K.-N. Chen, Novel Cu-to-Cu bonding with Ti passivation at 180 °C in 3-D integration. IEEE Electron Dev.Lett. 34, 1551–1553 (2013). doi:10.1109/LED.2013.2285702

    Article  Google Scholar 

  30. A.K. Panigrahi, S. Bonam, T. Ghosh, S.G. Singh, S.R.K. Vanjari, Ultra-thin Ti passivation mediated breakthrough in high quality Cu-Cu bonding at low temperature and pressure. Mater. Lett. 169, 269–272 (2016). doi:10.1016/j.matlet.2016.01.126

    Article  Google Scholar 

  31. Y.-P. Huang, Y.-S. Chien, R.-N. Tzeng, K.-N. Chen, Demonstration and electrical performance of Cu-Cu bonding at 150 °C with Pd passivation. IEEE Trans. Electron. Dev. 62, 2587–2592 (2015). doi:10.1109/TED.2015.2446507

    Article  Google Scholar 

  32. E. Beyne, V.J. De, J. Derakhshandeh, L. England, G. Vakanas, Thin Nib or Cob Capping Layer for Non-Noble Metallic Bonding Landing Pads (Springer, New York, 2015)

    Google Scholar 

  33. T.H. Kim, M.M.R. Howlader, T. Itoh, T. Suga, Room temperature Cu–Cu direct bonding using surface activated bonding method. J. Vac. Sci. Technol. A 21, 449–453 (2003). doi:10.1116/1.1537716

    Article  Google Scholar 

  34. A. Shigetou, T. Itoh, T. Suga, Direct bonding of CMP-Cu films by surface activated bonding (SAB) method. J. Mater. Sci. 40, 3149–3154 (2005). doi:10.1007/s10853-005-2677-1

    Article  Google Scholar 

  35. T. Suga, Feasibility of surface activated bonding for ultra-fine pitch interconnection––a new concept of bump-less direct bonding for system level packaging. In Electronic Components Technology Conference. 2000 Proceedings 50th IEEE, Las Vegas, pp. 702–705

  36. T. Suga, K. Otsuka, Bump-less interconnect for next generation system packaging. In Electronic Components and Technology Conference , 2001 Proceedings, 51st IEEE , pp. 1003–1008

  37. A. Shigetou, T. Itoh, K. Sawada, T. Suga, Bumpless interconnect of 6-μm-pitch Cu electrodes at room temperature. IEEE Trans. Adv. Packag. 31, 473–478 (2008). doi:10.1109/TADVP.2008.920644

    Article  Google Scholar 

  38. A. Shigetou, T. Suga, Modified diffusion bonding of chemical mechanical polishing Cu at 150 °C at ambient pressure. Appl. Phys. Express 2, 056501 (2009). doi:10.1143/APEX.2.056501

    Article  Google Scholar 

  39. A. Shigetou, T. Suga, Vapor-assisted surface activation method for homo- and heterogeneous bonding of Cu, SiO2, and polyimide at 150°C and atmospheric pressure. J. Electron. Mater. 41, 2274–2280 (2012). doi:10.1007/s11664-012-2091-9

    Article  Google Scholar 

  40. A. Shigetou, T. Suga, Modified diffusion bond process for chemical mechanical polishing (CMP)-Cu at 150 °C in ambient air. In 59th Electronic Components and Technology Conference , San Diego, 2009, pp. 365–369

  41. A. Shigetou , T. Suga, Homo/heterogeneous bonding of Cu, SiO2, and polyimide by low temperature vapor-assisted surface activation method. In IEEE 61st Electronic Components and Technology Conference (ECTC ), Lake Buena Vista, 2011, pp. 32–36

  42. T. Plach, K. Hingerl, S. Tollabimazraehno, G. Hesser, V. Dragoi, M. Wimplinger, Mechanisms for room temperature direct wafer bonding. J. Appl. Phys. 113, 094905 (2013). doi:10.1063/1.4794319

    Article  Google Scholar 

  43. T. Suni, K. Henttinen, I. Suni, J. Mäkinen, Effects of plasma activation on hydrophilic bonding of Si and SiO2. J. Electrochem. Soc. 149, G348–G351 (2002). doi:10.1149/1.1477209

    Article  Google Scholar 

  44. Y.-H. Wang, K. Nishida, M. Hutter, T. Kimura, T. Suga, Low-temperature process of fine-pitch Au–Sn bump bonding in ambient air. Jpn. J. Appl. Phys. 46, 1961 (2007). doi:10.1143/JJAP.46.1961

    Article  Google Scholar 

  45. K. Okumura, E. Higurashi, T. Suga, K. Hagiwara, Influence of air exposure time on bonding strength in Au-Au surface activated wafer bonding. In 2015 International Conference on Electronics Packaging and IMAPS All Asia Conference , ICEP-IACC, 2015, pp. 448–451

  46. H. Ishida, T. Ogashiwa, Y. Kanehira, S. Ito, T. Yazaki , J. Mizuno, Low-temperature, surface-compliant wafer bonding using sub-micron gold particles for wafer-level MEMS packaging. In I EEE 62nd Electronic Components and Technology Conference , 2012, pp. 1140–1145

  47. M. Park, S. Baek, S. Kim, S.E. Kim, Argon plasma treatment on Cu surface for Cu bonding in 3D integration and their characteristics. Appl. Surf. Sci. 324, 168–173 (2015). doi:10.1016/j.apsusc.2014.10.098

    Article  Google Scholar 

  48. S.L. Chua, G.Y. Chong , Y.H. Lee, C.S. Tan, Direct copper-copper wafer bonding with Ar/N2 plasma activation. In IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), 2015, pp. 134–137

  49. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications. In IEEE International Conference On 3D System Integration , 2009, pp. 1–6

  50. P. Enquist, Metal/silicon oxide hybrid bonding, in Handbook of Wafer Bonding, ed. by P. Ramm, J.J.-Q. Lu, M.M.V. Taklo (Wiley, Weinheim, 2012), pp. 261–278

    Chapter  Google Scholar 

  51. Y.-L. Chao, Q.-Y. Tong, T.-H. Lee, M. Reiche, R. Scholz, J.C.S. Woo, U. Gösele, Ammonium hydroxide effect on low-temperature wafer bonding energy enhancement. Electrochem. Solid State Lett. 8, G74–G77 (2005). doi:10.1149/1.1857671

    Article  Google Scholar 

  52. Q.-Y. Tong, G. Fountain, P. Enquist, Room temperature SiO2/SiO2 covalent bonding. Appl. Phys. Lett. 89, 042110 (2006). doi:10.1063/1.2240232

    Article  Google Scholar 

  53. L. Di Cioccio, S. Moreau, L. Sanchez, F. Baudin, P. Gueguen, S. Mermoz, Y. Beilliard, R. Taibi, In Cu/SiO 2 Hybrid bonding, ed. by P. Garrou, M. Koyanagi, P. Ramm. Handbook of 3D Integration, Wiley-VCH, Dresden, 2014. pp. 295–312

    Google Scholar 

  54. L.D. Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L.L. Chapelon, L. Clavelier, An overview of patterned metal/dielectric surface bonding: mechanism, alignment and characterization. J. Electrochem. Soc. 158, P81–P86 (2011). doi:10.1149/1.3577596

    Article  Google Scholar 

  55. I. Radu, D. Landru, G. Gaudin, G. Riou, C. Tempesta, F. Letertre, L. Di Cioccio, P. Gueguen, T. Signamarcheix, C. Euvrard, others. In IEEE International 3D Systems Integration Conference (3DIC ), IEEE, Munich, 2010, pp. 1–6

  56. C. Sabbione, L.D. Cioccio, L. Vandroux, J.-P. Nieto, F. Rieutord, Low temperature direct bonding mechanisms of tetraethyl orthosilicate based silicon oxide films deposited by plasma enhanced chemical vapor deposition. J. Appl. Phys. 112, 063501 (2012). doi:10.1063/1.4752258

    Article  Google Scholar 

  57. P. Gondcharton, B. Imbert, L. Benaissa, V. Carron, M. Verdier, Kinetics of low temperature direct copper–copper bonding. Microsyst. Technol. 21, 995–1001 (2015). doi:10.1007/s00542-015-2436-4

    Article  Google Scholar 

  58. P. Gueguen, L. Di Cioccio, P. Gergaud, M. Rivoire, D. Scevola, M. Zussy, A.M. Charvet, L. Bally, D. Lafond, L. Clavelier, Copper direct-bonding characterization and its interests for 3D integration. J. Electrochem. Soc. 156, H772 (2009). doi:10.1149/1.3187271

    Article  Google Scholar 

  59. A. Shigetou , T. Suga, Modified diffusion bonding for both Cu and SiO2 at 150 °C in ambient air. In 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC ), Las Vegas, 2010, pp. 872–877

  60. R. He, M. Fujino, A. Yamauchi, Y. Wang, T. Suga, Combined surface activated bonding technique for low-temperature Cu/dielectric hybrid bonding. ECS J. Solid State Sci. Technol. 5, P419–P424 (2016). doi:10.1149/2.0201607jss

    Article  Google Scholar 

  61. R. He, M. Fujino, A. Yamauchi, T. Suga, Combined surface-activated bonding technique for low-temperature hydrophilic direct wafer bonding. Jpn. J. Appl. Phys. 55, 04EC02 (2016). doi:10.7567/JJAP.55.04EC02

    Article  Google Scholar 

  62. Q.-Y. Tong J.G.G. Fountain, P.M. Enquist, Method for low temperature bonding and bonded structure. US Patent 6,902,987

    Google Scholar 

  63. C. Sanders, Continued adoption of low temperature direct bond technology for high volume 3D commercial applications. In 3D Architectures for Semiconductor Integration and Packaging (3D ASIP), 2012

    Google Scholar 

  64. L.D. Cioccio, F. Baudin, P. Gergaud, V. Delaye, P.-H. Jouneau, F. Rieutord, T. Signamarcheix, Modeling and integration phenomena of metal-metal direct bonding technology. ECS Trans. 64, 339–355 (2014). doi:10.1149/06405.0339ecst

    Article  Google Scholar 

  65. C. Rauer, H. Moriceau, F. Fournel, A.M. Charvet, C. Morales, N. Rochat, L. Vandroux, F. Rieutord, T. McCormick, I. Radu, Treatments of deposited SiOx surfaces enabling low temperature direct bonding. ECS J. Solid State Sci. Technol. 2, Q147–Q150 (2013). doi:10.1149/2.004309jss

    Article  Google Scholar 

  66. C. Ventosa, C. Morales, L. Libralesso, F. Fournel, A.M. Papon, D. Lafond, H. Moriceau, J.D. Penot, F. Rieutord, Mechanism of thermal silicon oxide direct wafer bonding. Electrochem. Solid State Lett. 12, H373–H375 (2009). doi:10.1149/1.3193533

    Article  Google Scholar 

  67. F. Fournel, C. Martin-Cocher, D. Radisson, V. Larrey, E. Beche, C. Morales, P.A. Delean, F. Rieutord, H. Moriceau, Water stress corrosion in bonded structures. ECS J. Solid State Sci. Technol. 4, P124–P130 (2015). doi:10.1149/2.0031505jss

    Article  Google Scholar 

  68. P. Gondcharton, B. Imbert, L. Benaissa, M. Verdier, Voiding phenomena in copper-copper bonded structures: role of creep. ECS J. Solid State Sci. Technol. 4, P77–P82 (2015). doi:10.1149/2.0081503jss

    Article  Google Scholar 

  69. P. Gondcharton, B. Imbert, L. Benaissa, F. Fournel, M. Verdier, Effect of copper–copper direct bonding on voiding in metal thin films. J. Electron. Mater. 44, 4128–4133 (2015). doi:10.1007/s11664-015-3992-1

    Article  Google Scholar 

  70. S. Lhostis, A. Farcy, E. Deloffre, F. Lorut, S. Mermoz, Y. Henrion, L. Berthier, F. Bailly, D. Scevola, F. Guyader, F. Gigon, C. Besset, S. Pellissier, L. Gay, N. Hotellier, M. Arnoux, A.-L. Le Berrigo, S. Moreau, V. Balan, F. Fournel, A. Jouve, S. Chéramy , B. Rebhan, G.A. Maier, L. Chitu, Reliable 300 mm wafer level hybrid bonding for 3D stacked CMOS image sensors. In IEEE 66th Electronic Components and Technology Conference, Las Vegas, 2016, pp. 869–876

    Google Scholar 

  71. H. Takagi, J. Utsumi, M. Takahashi, R. Maeda, Room-temperature bonding of oxide wafers by Ar-beam surface activation. ECS Trans. 16, 531–537 (2008). doi:10.1149/1.2982908

    Article  Google Scholar 

  72. F. Liu, R.R. Yu , A.M. Young, J.P. Doyle, X. Wang, L. Shi, K.-N. Chen , X. Li, D.A. Dipaola , D. Brown, C.T. Ryan , J.A. Hagan, K.H. Wong, M. Lu, X. Gu, N.R. Klymko, E.D. Perfecto, A.G. Merryman , K.A. Kelly, S. Purushothaman, S.J. Koester, R. Wisnieff, W. Haensch, A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bonding. In IEEE International Electron Devices Meeting (IEDM) 2008, pp. 1–4

  73. J.-Q. Lu, J.J. McMahon, R.J. Gutmann, Hybrid metal/polymer wafer bonding platform, in Handbook of Wafer Bonding, ed. by J.J.-Q. Lu, M.M.V. Taklo, P. Ramm (Wiley-VCH, Weinheim, 2012), pp. 215–236

    Chapter  Google Scholar 

  74. K. Hozawa, M. Aoki, F. Furuta, K. Takeda, A. Yanagisawa , H. Kikuchi, T. Mitsuhashi, H. Kobayashi, 3D integration technology using hybrid wafer bonding and its electrical characteristics. In 13th International Symposium on Electronics Packaging (ICEP 2013), Osaka, pp. 118–122

    Google Scholar 

  75. J.J. McMahon, J.-Q, Lu, R.J. Gutmann, Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first three-dimensional (3D) interconnect. In Proceedings Electronic Components and Technology, 2005, ECTC '05 , vol. 1, 2005, pp. 331–336

  76. Z.-C. Hsiao, C.-T. Ko, H.-H. Chang, H.-C. Fu, C.-W. Chiang, C.-K. Hsu, W.-W. Shen, W.-C. Lo, Cu/BCB hybrid bonding with TSV for 3D integration by using fly cutting technology (IEEE, Kyoto, 2015), pp. 834–837

    Google Scholar 

  77. T. Sakai, N. Imaizumi, S. Sakuyama, Hybrid bonding technology with Cu-Cu/adhesives for high density 2.5D/3D integration. In IEEE, Big Island, 2016, pp. 1–6

    Google Scholar 

  78. R. He, T. Suga, Effects of Ar plasma and Ar fast atom bombardment (FAB) treatments on Cu/polymer hybrid surface for wafer bonding. In International Conference on Electronics Packaging (ICEP ), Toyama, pp. 78–81

  79. C. Okoro, R. Agarwal, P. Limaye, B. Vandevelde, D. Vandepitte, E. Beyne, Insertion bonding: A novel Cu-Cu bonding approach for 3D integration. In 60th IEEE International Conference on Electronic Components and Technology Conference (ECTC 2010) Proceedings, pp. 1370–1375

  80. G.W. Deptuch, G. Carini, P. Grybos, P. Kmon, P. Maj, M. Trimpl, D.P. Siddons, R. Szczygiel, R. Yarema, Design and tests of the vertically integrated photon imaging chip. IEEE Trans. Nucl. Sci. 61, 663–674 (2014). doi:10.1109/TNS.2013.2294673

    Article  Google Scholar 

  81. G.W. Deptuch, G. Carini, T. Collier, P. Gryboś, P. Kmon, R. Lipton, P. Maj, D.P. Siddons, R. Szczygieł, R. Yarema, Results of tests of three-dimensionally integrated chips bonded to sensors. IEEE Trans. Nucl. Sci. 62, 349–358 (2015). doi:10.1109/TNS.2014.2378784

    Article  Google Scholar 

  82. G.W. Deptuch, G. Carini, P. Enquist, P. Gryboś, S. Holm, R. Lipton, P. Maj, R. Patti, D.P. Siddons, R. Szczygieł, R. Yarema, Fully 3-D integrated pixel detectors for X-rays. IEEE Trans. Electron. Dev. 63, 205–214 (2016). doi:10.1109/TED.2015.2448671

    Article  Google Scholar 

Download references

Acknowledgement

The editors would like to thank Hualiang Shi from Intel Corporation for his critical review of this Chapter.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tadatomo Suga .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Suga, T., He, R., Vakanas, G., La Manna, A. (2017). Direct Cu to Cu Bonding and Other Alternative Bonding Techniques in 3D Packaging. In: Li, Y., Goyal, D. (eds) 3D Microelectronic Packaging. Springer Series in Advanced Microelectronics, vol 57. Springer, Cham. https://doi.org/10.1007/978-3-319-44586-1_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-44586-1_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-44584-7

  • Online ISBN: 978-3-319-44586-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics