Skip to main content

Reconfiguration

  • Chapter
  • First Online:
  • 910 Accesses

Part of the book series: Embedded Systems ((EMSY))

Abstract

Systems generally operate in dynamic environments where the mix of active applications or use-case is not constant during the execution. This chapter shows how the configuration of our memory controller is adaptable to these changes, starting with an overview of the reconfiguration options offered by our architecture in Sect. 7.1. Section 7.2 describes how predictable and composable performance guarantees are defined for clients that remain active during reconfiguration, and Sect. 7.3 discusses the implication this has on the reconfiguration options we can safely use for these clients. Reconfiguring an arbiter while retaining predictable performance guarantees is not trivial: Sect. 7.4 shows how to construct and use a TDM arbiter that has this property. Section 7.5 evaluates the contributions in this chapter through experiments with our SystemC model and the VHDL instance of our controller.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    We recognize that it may be beneficial to apply such permutations for certain applications with regular but nonlinear addressing strides, but in general the data that a client reads should match the data that it wrote earlier.

References

  1. Sinha S, Koedam M, Breaban G, Nelson A, Nejad AB, Geilen M, Goossens K (2015) Composable and predictable dynamic loading for time-critical partitioned systems on multiprocessor architectures. Microprocess Microsyst

    Google Scholar 

  2. Goossens K, Koedam M, Sinha S, Nelson A, Geilen M (2015) Run-time middleware to support real-time system scenarios. In: Proceedings of the European conference on circuit theory and design (ECCTD)

    Google Scholar 

  3. Kramer J, Magee J (1990) The evolving philosophers problem: dynamic change management. IEEE Trans Softw Eng 16(11):1293–1306

    Article  Google Scholar 

  4. Akesson B, Steffens L, Strooisma S, Goossens K (2008) Real-time scheduling using credit-controlled static-priority arbitration. In: Embedded and real-time computing systems and applications (RTCSA)

    Google Scholar 

  5. Akesson B, Goossens K (2011) Architectures and modeling of predictable memory controllers for improved system integration. In: Design, automation and test in Europe conference and exhibition (DATE), pp 1–6

    Google Scholar 

  6. Stiliadis D, Varma A (1998) Latency-rate servers: a general model for analysis of traffic scheduling algorithms. IEEE/ACM Trans Netw 6(5)

    Google Scholar 

  7. Hansson A, Coenen M, Goossens K (2007) Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip. In: Design, automation and test in Europe conference and exhibition (DATE)

    Google Scholar 

  8. Akesson B, Minaeva A, Sucha P, Nelson A, Hanzalek Z (2015) An efficient configuration methodology for time-division multiplexed single resources. In: Real-time and embedded technology and applications symposium (RTAS)

    Google Scholar 

  9. Stuijk S, Basten T, Geilen M, Ghamarian AH, Theelen B (2008) Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip. J Syst Architect 54(3):411–426

    Article  Google Scholar 

  10. Stefan R, Goossens K (2011) An improved algorithm for slot selection in the æthereal network-on-chip. In: Proceedings of the fifth international workshop on interconnection network architecture: on-chip, multi-chip (INA-OCMC), pp 7–10

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sven Goossens .

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Goossens, S., Chandrasekar, K., Akesson, B., Goossens, K. (2016). Reconfiguration. In: Memory Controllers for Mixed-Time-Criticality Systems. Embedded Systems. Springer, Cham. https://doi.org/10.1007/978-3-319-32094-6_7

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-32094-6_7

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-32093-9

  • Online ISBN: 978-3-319-32094-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics