Skip to main content

Challenges and Designs of TFET for Digital Applications

  • Chapter
  • First Online:
Tunneling Field Effect Transistor Technology

Abstract

This chapter reviews the challenges and designs of digital TFET circuits. Several fundamental features of TFET such as unidirectional conduction, delayed saturation, and enhanced Miller capacitance are described with emphasis on their impacts on the functionality and robustness of logic and SRAM circuits. For TFET logic circuits, structural innovations and device design are demonstrated to facilitate compact circuit design and performance improvement. For SRAM, the advantages of hybrid TFET-MOSFET 8T SRAM cell in stability and efficiency of WRITE-assisted circuit to enhance performance are addressed. Moreover, the variability and backgate bias technique for TFET digital circuit design are highlighted.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. D.H. Morris, U.E. Avci, R. Rios, I.A. Young, Design of Low Voltage Tunneling-FET logic circuits considering asymmetric conduction characteristics. IEEE J. Emerg. Sel. Top. Circ. Syst. 4(4), 380–388 (2014)

    Article  Google Scholar 

  2. H. Liu, S. Datta, V. Narayanan, Steep switching tunnel FET: a promise to extend the energy efficient roadmap for Post-CMOS Digital and Analog/RF applications,” in Proceedings of ISLPED (2013), pp. 145–150

    Google Scholar 

  3. D. Kim, Y. Lee, J. Cai, I. Lauer, L. Chang, S.J. Koeter, D. Sylvester, D. Blaauw, Low Power Circuit Design Based on Heterojunction Tunneling Transistors (HETTs), in Proceedings of ISLPED (2009), pp. 219–224

    Google Scholar 

  4. Y.-N. Chen, M.-L. Fan, V.P.-H. Hu, P. Su, C.-T. Chuang, Evaluation of stability, performance of ultra-low voltage MOSFET, TFET, and mixed TFET-MOSFET SRAM cell with write-assist circuits. IEEE J. Emerg. Sel. Top. Circ. Syst. 4(4), 389–399 (2014)

    Article  Google Scholar 

  5. V. Saripalli, S. Datta, V. Narayanan, J.P. Kulkarni, Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design, in Proceedings of IEEE Nano. Arch. (2011), pp. 45–52

    Google Scholar 

  6. A. Mallik, A. Chattopadhyay, Drain-dependence of tunnel field-effect transistor characteristics: the role of the channel. IEEE Trans. Electron. Device 58(12), 4250–4257 (2011)

    Article  Google Scholar 

  7. L.D. Michielis, L. Lattanzio, A.M. Ionescu, Understanding the superlinear onset of Tunnel-FET output characteristics. IEEE Electron. Device Lett. 33(11), 1523–1525 (2012)

    Article  Google Scholar 

  8. L. Knoll, Q.-T. Zhao, A. Nichau, S. Trellenkamp, S. Richter, A. Schafer, D. Esseni, L. Selmi, K.K. Boudelle, S. Mantl, Inverters with strained Si nanowire complementary tunnel field-effect transistors. IEEE Electron. Device Lett. 34(6), 813–815 (2013)

    Article  Google Scholar 

  9. D. Esseni, M. Guglielmini, B. Kapidani, T. Rollo, M. Alioto, Tunnel FETs for ultralow voltage digital VLSI circuits: Part I—device-circuit interaction and evaluation at device level. IEEE Trans. VLSI Syst. 22(12), 2488–2498 (2014)

    Article  Google Scholar 

  10. Y.-N. Chen, M.-L. Fan, V.P.-H. Hu, P. Su, C.-T. Chuang, Design and analysis of robust tunneling FET SRAM. IEEE Trans. Electron. Devices 60(3), 1092–1098 (2013)

    Article  Google Scholar 

  11. S. Mookerjea, R. Krishnan, S. Datta, V. Narayanan, Effective capacitance and drive current for tunnel FET (TFET) CV/I estimation. IEEE Trans Electron. Devices 56(9), 2092–2098 (2009)

    Article  Google Scholar 

  12. Y.-N. Chen, M.-L. Fan, V.P.-H. Hu, P. Su, C.-T. Chuang, Investigation of tunneling FET device designs for improving circuit switching performance and energy, Extended Abstracts of Solid State Devices and Materials (SSDM), (2013), pp. 84–85

    Google Scholar 

  13. Y.-N. Chen, M.-L. Fan, V.P.-H. Hu, P. Su, C.-T. Chuang, Evaluation of Sub-0.2 V high-speed low-power circuits using hetero-channel MOSFET and tunneling FET Devices, IEEE Trans Circ. Syst–I 61(12), 3339–3347

    Google Scholar 

  14. U.E. Avci, R. Rios, K.J. Kuln, I.A. Young, Comparison of power and performance for the TFET and MOSFET and considerations for P-TFET, in Proceedings of IEEE International Conference on Nanotechnology (2011), pp. 869–872

    Google Scholar 

  15. R. Mukundrajan, M. Cotter, V. Saripalli, M.J. Irwin, S. Datta, V. Narayanan, Ultra Low Power Circuit Design Using Tunnel FETs,” in Proceedings of ISVLSI (2012), pp. 153–158

    Google Scholar 

  16. M.-L. Fan, V.P.-H. Hu, Y.-N. Chen, C.-W. Hsu, P. Su, C.-T. Chuang, Investigation of backgate-biasing effect for ultra-thin-body III-V heterojunction tunnel FET. IEEE Trans. Electron. Devices 62(1), 107–113 (2015)

    Article  Google Scholar 

  17. E. Baravelli, E. Gnani, A. Gnudi, S. Reggiani, G. Baccarani, TFET inverters with n-/p-devices on the Same technology platform for low-voltage/low-power applications. IEEE Trans. Electron. Devices 61(2), 473–478 (2014)

    Article  Google Scholar 

  18. W.G. Vandenberghe, A.S. Verhulst, K.-H. Kao, K.D. Meyer, B. Soree, W. Magnus, G. Groeseneken, A model determining optimal doping concentration and material’s band gap of tunnel field-effect transistors. Appl. Phys. Lett. 100(19), 193–196 (2012)

    Article  Google Scholar 

  19. M.-L. Fan, V.P.-H. Hu, Y.-N. Chen, P. Su, C.-T. Chuang, Analysis of single-trap-induced random telegraph noise and its interaction with work function variation for tunnel FET. IEEE Trans. Electron. Devices 60(6), 2038–2044 (2013)

    Article  Google Scholar 

  20. U.E. Avci, R. Rios, K. Kuhn, I.A. Young, Comparison of performance, switching energy and process variations for the TFET and MOSFET in Logic, in Proceedings of VLSI Symposium Tech. Dig. (2011), pp. 124–125

    Google Scholar 

  21. C.-W. Hsu, M.-L. Fan, V.P.-H. Hu, P. Su, Investigation and simulation of work-function variation for III–V broken-gap heterojunction tunnel FET. IEEE J. Electron. Device Soc. 3(3), 194–199 (2015)

    Article  Google Scholar 

  22. A. Guo, P. Matheu, T.-J.K. Liu, SOI TFET ION/IOFF enhancement via back biasing. IEEE Trans. Electron. Device 58(10), 3283–3285 (2011)

    Article  Google Scholar 

  23. P. Matheu, B. Ho, Z.A. Jacobson, T.-J.K. Liu, Planar GeOI TFET performance improvement with back biasing. IEEE Trans. Electron. Device 59(6), 1629–1635 (2012)

    Article  Google Scholar 

  24. S.H. Kim, Z.A. Jacobson, P. Patel, C. Hu, T.-J.K. Liu, Tunnel FET-based pass-transistor logic for ultra-low-power applications, in Proceedings of Device Research Conference (DRC) (2011), pp. 133–134

    Google Scholar 

  25. S. Jain, S. Khare, S. Yada, V. Ambili, P. Salihundam, S. Ramani, S. Muthukumar, M. Srinivasan, A. Kumar, S.K. Gb, R. Ramanarayanan, V. Erraguntla, J. Howard, S. Vangal, S. Dighe, G. Ruhl, P. Aseron, H. Wilson, N. Borkar, V. De, S. Borkar, A 280mV-To-1.2V wide-operating-range IA-32 processor in 32 nm CMOS, in Proceedings of ISSCC Dig. Tech. (2012), pp. 66–67

    Google Scholar 

  26. L. Chang, D.M. Fried, J. Hergenrother, J.W. Sleight, R.H. Dennard, R.K. Montoye, L. Sekaric, S.J. McNab, A.W. Topol, C.D. Adams, K.W. Guarini, W. Haensch, Stable SRAM cell design for the 32 nm node and beyond, in Proceedings of VLSI Symposium Tech. Dig. (2005), pp. 128–129

    Google Scholar 

  27. N. Verma, A.P. Chandrakasan, A 256 Kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circ. 43(1), 141–149 (2008)

    Article  Google Scholar 

  28. M.-H. Tu, J.-Y. Lin, M.-C. Tsai, S.-J. Chou, C.-T. Chuang, Single-ended subthreshold SRAM with asymmetrical write/read assist. IEEE Trans. Circ. Syst I, Regul. Pap. 57(12), 3039–3047 (2010)

    Article  MathSciNet  Google Scholar 

  29. I.J. Chang, J.J. Kim, S.P. Park, K. Roy, A 32 Kb 10T Subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid-State Circ. 44(2), 650–658 (2009)

    Article  Google Scholar 

  30. M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, H. Shinohara, A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist, in Proceedings of Symposium VLSI circuits dig. (2009), pp. 158–159

    Google Scholar 

  31. T. Kobayashi, K. Nogami, T. Shirotori, Y. Fujimoto, A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture. IEEE J. Solid-State Circuits 28(4), 523–527 (1993)

    Article  Google Scholar 

  32. B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier. IEEE J. Solid-State Circ. 39(7), 1148–1158 (2004)

    Article  Google Scholar 

  33. M.-L. Fan, V.P.-H. Hu, Y.-N. Chen, P. Su, C.-T. Chuang, Investigation of single-trap-induced random telegraph noise for tunneling FET devices, 8T SRAM cell, and sense amplifiers, in Proceedings of IEEE International Reliability Physics Symposium (IRPS) (2013), pp. CR.1.1–CR.1.6

    Google Scholar 

Download references

Acknowledgement

This work was supported in part by the Ministry of Science and Technology in Taiwan under Contracts MOST 104-2911-I-009-301 (I-RiCE), MOST 102-2221-E-009-136-MY2, and MOST 103-2221-E-009-196-MY2, and by the Ministry of Education in Taiwan under the ATU Program. The authors are grateful to the National Center for High-Performance Computing in Taiwan for the software and facilities.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Pin Su .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Fan, ML., Chen, YN., Su, P., Chuang, CT. (2016). Challenges and Designs of TFET for Digital Applications. In: Zhang, L., Chan, M. (eds) Tunneling Field Effect Transistor Technology. Springer, Cham. https://doi.org/10.1007/978-3-319-31653-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31653-6_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31651-2

  • Online ISBN: 978-3-319-31653-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics