Skip to main content

Power Management of Asymmetric Multi-Cores in the Dark Silicon Era

  • Chapter
  • First Online:

Abstract

The dark silicon era is driving the emergence of asymmetric computing platforms consisting of cores with diverse power-performance characteristics enabling better match between a task’s requirements and the compute engine leading to substantially improved energy-efficiency. In this chapter, we discuss the challenges and opportunities offered by asymmetric multi-cores towards low-power, high-performance mobile computing. We present a comprehensive power management framework that can guarantee Quality of Service (QoS), while minimizing energy consumption within the Thermal Design Power (TDP) budget.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. K. Agarwal, K. Nowka, H. Deogun, D. Sylvester, Power gating with multiple sleep modes, in International Symposium on Quality Electronic Design (ISQED) (2006)

    Google Scholar 

  2. AnandTech, The Samsung Exynos 7420 Deep Dive - Inside A Modern 14nm SoC. http://www.anandtech.com/show/9330/exynos-7420-deep-dive. Accessed 2015

  3. C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite: characterization and architectural implications, in Parallel Architectures and Compilation Techniques (PACT) (2008)

    Book  Google Scholar 

  4. J. Cong, B. Yuan, Energy-efficient scheduling on heterogeneous multi-core architectures, in International symposium on Low power electronics and design (ISLPED) (2012)

    Google Scholar 

  5. T. Ebi, M Faruque, J. Henkel, TAPE: thermal-aware agent-based power economy for multi/many-core architectures, in International Conference on Computer-Aided Design (ICCAD) (2009)

    Google Scholar 

  6. H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in International Symposium on Computer Architecture (ISCA) (2011)

    Google Scholar 

  7. P. Greenhalgh, Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7. ARM Whitepaper (2011)

    Google Scholar 

  8. J. Henkel, M.U.K. Khan, M. Shafique, Energy-efficient multimedia systems for high efficiency video coding, in International Symposium on Circuits and Systems (ISCAS) (2015)

    Google Scholar 

  9. J. Henkel, H. Khdr, S. Pagani, M. Shafique, New trends in dark silicon, in Design Automation Conference (DAC) (2015)

    Google Scholar 

  10. J.L. Henning, SPEC CPU2006 benchmark descriptions. Computer Architecture News (CAN) (2006)

    Google Scholar 

  11. H. Hoffmann, J. Eastep, M.D. Santambrogio, J.E. Miller, A. Agarwal, Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments, in International Conference on Autonomic Computing (ICAC) (2010)

    Book  Google Scholar 

  12. Ineda Systems, http://inedasystems.com/hierarchical-computing.html. Accessed 2016

  13. T.S. Karkhanis, J.E. Smith, A first-order superscalar processor model, in Computer Architecture News (CAN) (2004)

    Google Scholar 

  14. D. Koufaty, D. Reddy, S. Hahn, Bias Scheduling in Heterogeneous Multi-Core Architectures, in European Conference on Computer Systems (EuroSys) (2010)

    Google Scholar 

  15. R. Kumar, K.I. Farkas, N.P. Jouppi, P. Ranganathan, D.M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, in International Symposium on Microarchitecture (MICRO) (2003)

    Google Scholar 

  16. S. Landsburg, Price Theory and Applications, Cengage Learning, USA 2014

    Google Scholar 

  17. T. Li, D. Baumberger, D.A. Koufaty, S. Hahn, Efficient operating system scheduling for performance-asymmetric multi-core architectures, in International conference on Supercomputing (ICS) (2007)

    Google Scholar 

  18. T. Li, P. Brett, R. Knauerhase, D. Koufaty, D. Reddy, S. Hahn, Operating system support for overlapping-ISA heterogeneous multi-core architectures, in International Symposium on High Performance Computer Architecture (HPCA) (2010)

    Google Scholar 

  19. R. Merritt, ARM CTO: power surge could create dark silicon. EE Times (2009)

    Google Scholar 

  20. T. Mitra, Heterogeneous multi-core architectures. Transactions on System LSI Design Methodology (T-SLDM) (2015)

    Google Scholar 

  21. T.S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, S. Vishin, Hierarchical power management for asymmetric multi-core in dark silicon era, in Design Automation Conference (DAC) (2013)

    Google Scholar 

  22. T.S. Muthukaruppan, A. Pathania, T. Mitra, Price theory based power management for heterogeneous multi-cores, in Architectural Support for Programming Languages and Operating Systems (ASPLOS) (2014)

    Google Scholar 

  23. nVidia, A multi-core CPU architecture for low power and high performance. nVidia Whitepaper (2011)

    Google Scholar 

  24. C.S. Pabla, Completely fair scheduler. Linux J. 2009 (184), 4(2009)

    Google Scholar 

  25. M. Pricopi, T.S. Muthukaruppan, V. Venkataramani, T. Mitra, S. Vishin, Power-performance modeling on asymmetric multi-cores, in Compilers, Architecture and Synthesis for Embedded Systems (CASES) (2013)

    Google Scholar 

  26. T.S. Rosing, K. Mihic, G. De Micheli, Power and reliability management of SoCs. Transactions on Very Large Scale Integration Systems (TVLSI) (2007)

    Google Scholar 

  27. J.C. Saez, M. Prieto, A. Fedorova, S. Blagodurov, A comprehensive scheduler for asymmetric multicore systems, in European conference on Computer systems (EuroSys) (2010)

    Google Scholar 

  28. G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, M.L. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in International Symposium on High Performance Computer Architecture (HPCA) (2002)

    Google Scholar 

  29. M. Shafique, S. Garg, T. Mitra, S. Parameswaran, J. Henkel, Dark silicon as a challenge for hardware/software co-design, in Conference on Hardware/Software Codesign and System Synthesis (CODES) (2014)

    Google Scholar 

  30. A.K. Singh, M. Shafique, A. Kumar, J. Henkel, Mapping on multi/many-core systems: survey of current and emerging trends, in Design Automation Conference (DAC) (2013)

    Book  Google Scholar 

  31. B. Su, J. Gu, L. Shen, W. Huang, J.L. Greathouse, Z. Wang, PPEP: Online performance, power, and energy prediction framework and DVFS space exploration, in International Symposium on Microarchitecture (MICRO) (2014)

    Google Scholar 

  32. M.B. Taylor, Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse, in Design Automation Conference (DAC) (2012)

    Google Scholar 

  33. K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, J. Emer, Scheduling heterogeneous multi-cores through performance impact estimation (PIE), in International Symposium on Computer Architecture (ISCA) (2012)

    Google Scholar 

  34. S.K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, M.B. Taylor, SD-VBS: the San Diego vision benchmark suite, in International Symposium on Workload Characterization (IISWC) (2009)

    Google Scholar 

  35. Versatile Express Board from ARM Ltd., http://www.arm.com/products/tools/development-boards/versatile-express/index.php. Accessed 2016

Download references

Acknowledgements

This work was partially supported by CSR research funding and Singapore Ministry of Education Academic Research Fund Tier 2 MOE2012-T2-1-115.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tulika Mitra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Mitra, T., Muthukaruppan, T.S., Pathania, A., Pricopi, M., Venkataramani, V., Vishin, S. (2017). Power Management of Asymmetric Multi-Cores in the Dark Silicon Era. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31596-6_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31594-2

  • Online ISBN: 978-3-319-31596-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics