Skip to main content

A Perspective on Dark Silicon

  • Chapter
  • First Online:
The Dark Side of Silicon

Abstract

The possibilities to increase single-core performance have ended due to limited instruction-level parallelism and a high penalty when increasing frequency. This prompted designers to move toward multi-core paradigms [1], largely supported by transistor scaling [2]. Scaling down transistor gate length makes it possible to switch them faster at a lower power, as they have a low capacitance. In this context, an important consideration is power density—the power dissipated per unit area. Dennard’s scaling establishes that reducing physical parameters of transistors allows operating them at lower voltage and thus at lower power, because power consumption is proportional to the square of the applied voltage, keeping power density constant [3]. Dennard’s estimation of scaling effects and constant power density is shown in Table 1.1. Theoretically, scaling down further should result in more computational capacity per unit area. However, scaling is reaching its physical limits to an extent that voltage cannot be scaled down as much as transistor gate length leading to failure of Dennardian trend. This along with a rise in leakage current results in increased power density, rather than a constant power density. Higher power density implies more heat generated in a unit area and hence higher chip temperatures which have to be dissipated through cooling solutions, as increase in temperature beyond a certain level results in unreliable functionality, faster aging, and even permanent failure of the chip. To ensure a safe operation, it is essential for the chip to perform within a fixed power budget [4]. In order to avoid too high power dissipation, a certain part of the chip needs to remain inactive; the inactive part is termed dark silicon [5]. Hence, we have to operate working cores in a multi-core system at less than their full capacity, limiting the performance, resource utilization, and efficiency of the system.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H. Sutter, The free lunch is over: a fundamental turn toward concurrency in software. Dr. Dobb’s J. 30 (3), 202–210 (2005)

    Google Scholar 

  2. G.E. Moore, Cramming more components onto integrated circuits. Proc. IEEE 86 (1), 82–85 (2002)

    Article  Google Scholar 

  3. R.H. Dennard, V. Rideout, E. Bassous, A. Leblanc, Design of ion-implanted mosfet’s with very small physical dimensions. IEEE J. Solid-State Circuits 9 (5), 256–268 (1974)

    Article  Google Scholar 

  4. M.-H. Haghbayan, A.-M. Rahmani, A.Y. Weldezion, P. Liljeberg, J. Plosila, A. Jantsch, H. Tenhunen, Dark silicon aware power management for manycore systems under dynamic workloads, in Proceedings of IEEE International Conference on Computer Design (2014), pp. 509–512

    Google Scholar 

  5. H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceedings of IEEE International Symposium on Computer Architecture (2011), pp. 365–376

    Google Scholar 

  6. J. Kong, S.W. Chung, K. Skadron, Recent thermal management techniques for microprocessors, in ACM Computing Surveys (2012), pp. 13:1–13:42

    Google Scholar 

  7. Semiconductor Industry Association, International technology roadmap for semiconductors (ITRS), 2011 edition (2011)

    Google Scholar 

  8. H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling. IEEE Micro 32 (3), 122–134 (2012)

    Article  Google Scholar 

  9. Semiconductor Industry Association, International technology roadmap for semiconductors (ITRS), 2013 edition (2013)

    Google Scholar 

  10. S. Borkar, The exascale challenge, in Proceedings of the VLSI Design Automation and Test (2010)

    Google Scholar 

  11. G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, M.B. Taylor, Conservation cores: reducing the energy of mature computations, in ACM SIGARCH Computer Architecture News, vol. 38(1) (2010), pp. 205–218

    Google Scholar 

  12. T. Mudge, Power: a first-class architectural design constraint. Computer 34 (4), 52–58 (2001)

    Article  Google Scholar 

  13. S. Borkar, Getting gigascale chips: challenges and opportunities in continuing Moore’s law. Queue 1 (7), 26 (2003)

    Google Scholar 

  14. S. Borkar, A.A. Chien, The future of microprocessors. Commun. ACM 54 (5), 67–77 (2011)

    Article  Google Scholar 

  15. H. Sasaki, M. Ono, T. Yoshitomi, T. Ohguro, S.-I. Nakamura, M. Saito, H. Iwai, 1.5 nm direct-tunneling gate oxide Si MOSFET’s. IEEE Trans. Electron Devices 43 (8), 1233–1242 (1996)

    Google Scholar 

  16. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De, Parameter variations and impact on circuits and microarchitecture, in Proceedings of ACM Design Automation Conference (2003), pp. 338–342

    Google Scholar 

  17. N.S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner, J.S. Hu, M.J. Irwin, M. Kandemir, V. Narayanan, Leakage current: Moore’s law meets static power. IEEE Comput. 36 (12), 68–75 (2003)

    Article  Google Scholar 

  18. A.P. Chandrakasan, W.J. Bowhill, F. Fox, Design of High-Performance Microprocessor Circuits (Wiley-IEEE Press, NJ, USA, 2000)

    Book  Google Scholar 

  19. C.-H. Choi, K.-Y. Nam, Z. Yu, R.W. Dutton, Impact of gate direct tunneling current on circuit performance: a simulation study. IEEE Trans. Electron Devices 48 (12), 2823–2829 (2001)

    Article  Google Scholar 

  20. G. Sery, S. Borkar, V. De, Life is CMOS: why chase the life after? in Proceedings of ACM Design Automation Conference (2002), pp. 78–83

    Google Scholar 

  21. Intel Corporation. Intel Xeon Processor - Measuring Processor Power, revision 1.1. White paper, Intel Corporation, April 2011

    Google Scholar 

  22. Intel Corporation. Fourth Generation Mobile Processor Family Data Sheet. White paper, Intel Corporation, July 2014

    Google Scholar 

  23. AMD. AMD Kaveri APU A10-7800. Available: http://www.phoronix.com/scan.php?page=article&item=amd_a_45watt&num=1. Accessed 28 Feb 2015 [Online]

  24. G.M. Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, in Proceedings of ACM Spring Joint Computer Conference (1967), pp. 483–485

    Google Scholar 

  25. G. Venkatesh, J. Sampson, N. Goulding-Hotta, S.K. Venkata, M.B. Taylor, S. Swanson, Qscores: trading dark silicon for scalable energy efficiency with quasi-specific cores, in Proceedings of IEEE/ACM International Symposium on Microarchitecture (2011), pp. 163–174

    Google Scholar 

  26. H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Power limitations and dark silicon challenge the future of multicore. ACM Trans. Comput. Syst. 30 (3), 11:1–11:27 (2012)

    Google Scholar 

  27. Y. Almog, R. Rosner, N. Schwartz, A. Schmorak, Specialized dynamic optimizations for high-performance energy-efficient microarchitecture, in Proceedings of International Symposium on Code Generation and Optimization: Feedback-directed and Runtime Optimization (2004), pp. 137–148

    Google Scholar 

  28. K. Khubaib, M.A. Suleman, M. Hashemi, C. Wilkerson, Y.N. Patt, MorphCore: an energy-efficient microarchitecture for high performance ILP and high throughput TLP, in Proceedings of IEEE/ACM International Symposium on Microarchitecture (2012), pp. 305–316

    Google Scholar 

  29. G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas, M.L. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in Proceedings of IEEE International Symposium on High-Performance Computer Architecture (2002), pp. 29–40

    Google Scholar 

  30. D.M. Brooks, P. Bose, S.E. Schuster, H. Jacobson, P.N. Kudva, A. Buyuktosunoglu, J.-D. Wellman, V. Zyuban, M. Gupta, P.W. Cook, Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors. IEEE Micro 20 (6), 26–44 (2000)

    Article  Google Scholar 

  31. M.B. Taylor, Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse, in Proceedings of ACM Design Automation Conference (2012), pp. 1131–1136

    Google Scholar 

  32. E.L. de Souza Carvalho, N.L.V. Calazans, F.G. Moraes, Dynamic task mapping for MPSoCs. IEEE Des. Test Comput. 27 (5), 26–35 (2010)

    Article  Google Scholar 

  33. C.-L. Chou, R. Marculescu, Contention-aware application mapping for network-on-chip communication architectures, in Proceedings of IEEE International Conference in Computer Design (2008), pp. 164–169

    Google Scholar 

  34. M. Fattah, M. Daneshtalab, P. Liljeberg, J. Plosila, Smart hill climbing for agile dynamic mapping in many-core systems, in Proceedings of IEEE/ACM Design Automation Conference (2013)

    Google Scholar 

  35. M. Fattah, P. Liljeberg, J. Plosila, H. Tenhunen, Adjustable contiguity of run-time task allocation in networked many-core systems, in Proceedings of IEEE Asia and South Pacific Design Automation Conference (2014), pp. 349–354

    Google Scholar 

  36. J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, The case for lifetime reliability-aware microprocessors, in Proceedings of IEEE International Symposium on Computer Architecture (2004), pp. 276–287

    Google Scholar 

  37. J. Srinivasan, S. Adve, P. Bose, J. Rivers, The impact of scaling on processor lifetime reliability, in Proceedings of International Conference on Dependable Systems and Networks (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Anil Kanduri .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Kanduri, A., Rahmani, A.M., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (2017). A Perspective on Dark Silicon. In: Rahmani, A., Liljeberg, P., Hemani, A., Jantsch, A., Tenhunen, H. (eds) The Dark Side of Silicon. Springer, Cham. https://doi.org/10.1007/978-3-319-31596-6_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31596-6_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31594-2

  • Online ISBN: 978-3-319-31596-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics