Skip to main content

Two-Stage Approach for Compact and Efficient Low Power from the Mains

  • Chapter
  • First Online:
Book cover High-Ratio Voltage Conversion in CMOS for Efficient Mains-Connected Standby

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

  • 759 Accesses

Abstract

This chapter continues the investigation of Chaps. 3 and 4, but introduces an alternative solution approach to realize the same goal of extracting relatively low amounts of power from the mains into a low DC voltage, and this at high efficiency.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. E. Alon, M. Horowitz, Integrated regulation for energy-efficient digital circuits. IEEE J. Solid State Circuits 43(8), 1795–1807 (2008)

    Article  Google Scholar 

  2. J. Alonso, M. Dalla Costa, C. Ordiz, Integrated buck-flyback converter as a high-power-factor off-line power supply. IEEE Trans. Ind. Electron. 55(3), 1090–1100 (2008)

    Article  Google Scholar 

  3. B. Amelifard, M. Pedram, Optimal design of the power-delivery network for multiple voltage-island system-on-chips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(6), 888–900 (2009)

    Article  Google Scholar 

  4. T. Andersen, F. Krismer, J. Kolar, T. Toifl, C. Menolfi, L. Kull, T. Morf, M. Kossel, M. Brandli, P. Buchmann, P. Francese, A 4.6W/mm2 power density 86% efficiency on-chip switched capacitor DC-DC converter in 32 nm SOI CMOS, in 2013 Twenty-Eighth Annual IEEE Applied Power Electronics Conference and Exposition (APEC) (2013), pp. 692–699

    Google Scholar 

  5. T. Andersen, F. Krismer, J. Kolar, T. Toifl, C. Menolfi, L. Kull, T. Morf, M. Kossel, M. Brandli, P. Buchmann, P. Francese, A deep trench capacitor based 2:1 and 3:2 reconfigurable on-chip switched capacitor DC-DC converter in 32 nm SOI CMOS, in 2014 Twenty-Ninth Annual IEEE Applied Power Electronics Conference and Exposition (APEC) (2014), pp. 1448–1455

    Google Scholar 

  6. T. Andersen, F. Krismer, J. Kolar, T. Toifl, C. Menolfi, L. Kull, T. Morf, M. Kossel, M. Brandli, P. Buchmann, P. Francese, A sub-ns response on-chip switched-capacitor DC-DC voltage regulator delivering 3.7W/mm2 at 90% efficiency using deep-trench capacitors in 32 nm SOI CMOS, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2014), pp. 90–91

    Google Scholar 

  7. T. Andersen, F. Krismer, J. Kolar, T. Toifl, C. Menolfi, L. Kuli, T. Morf, M. Kossel, M. Brandii, P. Francese, A feedforward controlled on-chip switched-capacitor voltage regulator delivering 10 W in 32 nm SOI CMOS, in 2015 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2015), pp. 362–363

    Google Scholar 

  8. A.-J. Annema, G.J.G.M. Geelen, P.C. de Jong, 5.5-V I/O in a 2.5-V 0.25 μm CMOS technology. IEEE J. Solid State Circuits 36(3), 528–538 (2001)

    Google Scholar 

  9. S. Ben-Yaakov, On the influence of switch resistances on switched-capacitor converter losses. IEEE Trans. Ind. Electron. 59(1), 638–640 (2012)

    Article  Google Scholar 

  10. H. Bergveld, K. Nowak, R. Karadi, S. Iochem, J. Ferreira, S. Ledain, E. Pieraerts, M. Pommier, A 65-nm-CMOS 100-MHz 87%-efficient DC-DC down converter based on dual-die system-in-package integration, in IEEE Energy Conversion Congress and Exposition, 2009 (ECCE 2009) (2009), pp. 3698–3705

    Google Scholar 

  11. D. Blaauw, M3 - Michigan Micro-Mote. (2015) http://blaauw.eecs.umich.edu/project.php?id=66&sid=f6965a64adc62913bde05530f02c274a

    Google Scholar 

  12. E. Burton, G. Schrom, F. Paillet, J. Douglas, W. Lambert, K. Radhakrishnan, M. Hill, Fully integrated voltage regulators on 4th generation intel®; coreTM SoCs, in 2014 Twenty-Ninth Annual IEEE Applied Power Electronics Conference and Exposition (APEC) (2014), pp. 432–439

    Google Scholar 

  13. L. Chang, R.K. Montoye, B.L. Ji, A.J. Weger, K.G. Stawiasz, R.H. Dennard, A fully-integrated switched-capacitor 2:1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2, in Proceedings of Symposium on VLSI Circuits (2010), pp. 55–56

    Google Scholar 

  14. P.-H. Chen, C.-S. Wu, K.-C. Lin, A 50nW-to-10mW output power tri-mode digital buck converter with self-tracking zero current detection for photovoltaic energy harvesting, in Solid- State Circuits Conference - (ISSCC) (2015), pp. 1–3

    Google Scholar 

  15. J. Daggle, Postmortem analysis of power grid blackouts: the role of measurement systems. IEEE Power Energ. Mag. 4(5), 30–35 (2006)

    Article  Google Scholar 

  16. V. De, Energy efficient computing in nanoscale CMOS: challenges and opportunities, in 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC) (2014), pp. 121–124

    Google Scholar 

  17. J.F. Dickson, On-chip high-voltage generation in NMOS integrated circuits using an improved voltage multiplier technique. IEEE J. Solid State Circuits 11(3), 374–378 (1976)

    Article  Google Scholar 

  18. dsPIC SMPS AC-DC Reference Design User Guide. Microchip. http://ww1.microchip.com/downloads/en/DeviceDoc/dsPICSMPS%20AC_DC%20Users%20Guide.pdf

  19. Efficiency standards for external power supplies. http://www.cui.com/catalog/resource/efficiency-standards-for-external-power-supplies.pdf

  20. Electricity vampires: power use of appliances in off or standby mode. http://www.wwcccs.com/electricity-consumption/electricity-vampires/

  21. D. El-Damak, S. Bandyopadhyay, A. Chandrakasan, A 93% efficiency reconfigurable switched-capacitor DC-DC converter using on-chip ferroelectric capacitors, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2013), pp. 374–375

    Google Scholar 

  22. M.F. El-Kady, R.B. Kaner, Scalable fabrication of high-power graphene micro-supercapacitors for flexible and on-chip energy storage. Nat. Commun. 4, 1475 (2013)

    Article  Google Scholar 

  23. R. Ghaida, G. Torres, P. Gupta, Single-mask double-patterning lithography for reduced cost and improved overlay control. IEEE Trans. Semicond. Manuf. 24(1), 93–103 (2011)

    Article  Google Scholar 

  24. M. Ghovanloo, K. Najafi, Fully integrated wideband high-current rectifiers for inductively powered devices. IEEE J. Solid State Circuits 39(11), 1976–1984 (2004)

    Article  Google Scholar 

  25. M. Gorlatova, J. Sarik, G. Grebla, M. Cong, I. Kymissis, G. Zussman, Movers and shakers: kinetic energy harvesting for the internet of things. IEEE J. Sel. Areas Commun. 33(8), 1624–1639 (2015)

    Google Scholar 

  26. D. Griffith, P. Roine, J. Murdock, R. Smith, A 190nW 33kHz RC oscillator with ±0.21% temperature stability and 4 ppm long-term stability, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2014), pp. 300–301

    Google Scholar 

  27. R. Guo, Z. Liang, A.Q. Huang, A family of multimodes charge pump based DC-DC converter with high efficiency over wide input and output range. IEEE Trans. Power Electron. 27(11), 4788–4798 (2012)

    Article  Google Scholar 

  28. J.M. Henry, J.W. Kimball, Switched-capacitor converter state model generator. IEEE Trans. Power Electron. 27(5), 2415–2425 (2012)

    Article  Google Scholar 

  29. Intersil, Milpitas, California, Wide V IN 150 mA Synchronous Buck Regulator (2014) ISL85412

    Google Scholar 

  30. V.V. Ivanov, I.M. Filanovsky, Operational Amplifier Speed and Accuracy Improvement: Analog Circuit Design with Structural Methodology (Kluwer, Dordrecht, 2004)

    Google Scholar 

  31. R. Jain, B. Geuskens, S. Kim, M. Khellah, J. Kulkarni, J. Tschanz, V. De, A 0.45–1 V fully-integrated distributed switched capacitor DC-DC converter with high density MIM capacitor in 22 nm tri-gate CMOS. IEEE J. Solid State Circuits 49(4), 917–927 (2014)

    Google Scholar 

  32. R. Jevtic, H.-P. Le, M. Blagojevic, S. Bailey, K. Asanovic, E. Alon, B. Nikolic, Per-core DVFS with switched-capacitor converters for energy efficiency in manycore processors. IEEE Trans. Very Large Scale Integr. VLSI Syst. 23(4), 723–730 (2015)

    Article  Google Scholar 

  33. R. Karadi, Synthesis of switched-capacitor power converters: An iterative algorithm, in 2015 IEEE 16th Workshop on Control and Modeling for Power Electronics (COMPEL) (2015), pp. 1–4

    Google Scholar 

  34. R. Karadi, G. Villar Piqué, 3-phase 6/1 Switched-Capacitor DC-DC boost converter providing 16 V at 7 mA and 70.3% efficiency in 1.1 mm3, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2014), pp. 92–93

    Google Scholar 

  35. K. Kesarwani, R. Sangwan, J. Stauth, Resonant-switched capacitor converters for chip-scale power delivery: design and implementation. IEEE Trans. Power Electron. 30(12), 6966–6977 (2015)

    Article  Google Scholar 

  36. W. Kim, D. Brooks, G. Yeon Wei, A fully-integrated 3-level DC-DC converter for nanosecond-scale DVFS. IEEE J. Solid State Circuits 47(1), 206–219 (2012)

    Article  Google Scholar 

  37. S. Kim, Y.-C. Shih, K. Mazumdar, R. Jain, J. Ryan, C. Tokunaga, C. Augustine, J. Kulkarni, K. Ravichandran, J. Tschanz, M. Khellah, V. De, Enabling wide autonomous DVFS in a 22 nm graphics execution core using a digitally controlled hybrid LDO/switched-capacitor VR with fast droop mitigation, in 2015 IEEE International Solid- State Circuits Conference - (ISSCC) (2015), pp. 1–3

    Google Scholar 

  38. S. Kose, E.G. Friedman, Effective resistance of a two layer mesh. IEEE Trans. Circuits Syst. Express Briefs 58(11), 739–743 (2011)

    Article  Google Scholar 

  39. V. Kursun, S. Narendra, V. De, E. Friedman, Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. IEEE Trans. Very Large Scale Integr. VLSI Syst. 11(3), 514–522 (2003)

    Article  Google Scholar 

  40. Lawrence Berkeley National Laboratory Standby Power Survey. http://standby.lbl.gov/

  41. H.-P. Le, M. Seeman, S.R. Sanders, V. Sathe, S. Naffziger, E. Alon, A 32 nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency, in Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2010), pp. 210–211

    Google Scholar 

  42. H.-P. Le, S.R. Sanders, E. Alon, Design techniques for fully integrated switched-capacitor DC-DC converters. IEEE J. Solid State Circuits 46(9), 2120–2131 (2011)

    Article  Google Scholar 

  43. H.-P. Le, J. Crossley, S. Sanders, E. Alon, A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19 W/mm2 at 73% efficiency, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2013), pp. 372–373

    Google Scholar 

  44. S.K. Lee, T. Tong, X. Zhang, D. Brooks, G.-Y. Wei, A 16-core voltage-stacked system with an integrated switched-capacitor DC-DC converter, in 2015 Symposium on VLSI Circuits (2015)

    Google Scholar 

  45. Y. Lei, R. Pilawa-Podgurski, A general method for analyzing resonant and soft-charging operation of switched-capacitor converters. IEEE Trans. Power Electron. 30(10), 5650–5664 (2015)

    Article  Google Scholar 

  46. Y. Lei, R. May, R. Pilawa-Podgurski, Split-phase control: achieving complete soft-charging operation of a dickson switched-capacitor converter. IEEE Trans. Power Electron. 31(1), 770–782 (2016)

    Article  Google Scholar 

  47. H. Lhermet, C. Condemine, M. Plissonnier, R. Salot, P. Audebert, M. Rosset, Efficient power management circuit: From thermal energy harvesting to above-IC microbattery energy storage. IEEE J. Solid State Circuits 43(1), 246–255 (2008)

    Article  Google Scholar 

  48. Linear Technology, Milpitas, California. High efficiency, high voltage 20mA synchronous step-down converter (2010) LTC3632

    Google Scholar 

  49. G. Maderbacher, T. Jackum, W. Pribyl, C. Sandner, Output stage topologies of DC-DC buck converters operating up to 5 V supply voltage in 65 nm CMOS, in 2011 7th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) (2011), pp. 105–108

    Google Scholar 

  50. G. Maderbacher, S. Marsili, M. Motz, T. Jackum, J. Thielmann, H. Hassander, H. Gruber, F. Hus, C. Sandner, A digitally assisted single-point-calibration CMOS bandgap voltage reference with a 3σ inaccuracy of ±0.08% for fuel-gauge applications, in 2015 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2015), pp. 102–103

    Google Scholar 

  51. M. Madsen, A. Knott, M. Andersen, Low power very high frequency switch-mode power supply with 50 V input and 5 V output. IEEE Transactions on Power Electronics 29(12), 6569–6580 (2014)

    Article  Google Scholar 

  52. M. Makowski, On performance limits of switched-capacitor multi-phase charge pump circuits. Remarks on papers of Starzyk et al., in International Conference on Signals and Electronic Systems, 2008. ICSES ‘08 (2008), pp. 309–312

    Google Scholar 

  53. M.S. Makowski, D. Maksimovic, Performance limits of switched-capacitor DC-DC vonverters, in Proceedings of IEEE Power Electronics Specialists Conference (PESC), vol. 2 (1995), pp. 1215–1221

    Google Scholar 

  54. C.O. Mathuna, N. Wang, S. Kulkarni, R. Anthony, N. Cordero, J. Oliver, P. Alou, V. Svikovic, J.A. Cobos, J. Cortes, F. Neveu, C. Martin, B. Allard, F. Voiron, B. Knott, C. Sandner, G. Maderbacher, J. Pichler, M. Agostinelli, A. Anca, M. Breig, C.O. Mathuna, R. Anthony, Power supply with integrated passives - the EU FP7 powerswipe project, in 2014 8th International Conference on Integrated Power Systems (CIPS) (2014), pp. 1–7

    Google Scholar 

  55. Maxim Integrated, San Jose, California. 60 V, 50 mA, Ultra-Small, High-Efficiency, Synchronous Step-Down DC-DC Converter with 22 μA No-Load Supply Current (2014). MAX17551

    Google Scholar 

  56. H. Meyvaert, T. Van Breussegem, M. Steyaert, A monolithic 0.77 W/mm2 power dense capacitive DC-DC step-down converter in 90 nm Bulk CMOS, in 2011 Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2011), pp. 483–486

    Google Scholar 

  57. H. Meyvaert, T. Van Breussegem, M. Steyaert, A 1.65 W fully integrated 90 nm bulk cmos capacitive DC-dc converter with intrinsic charge recycling. IEEE Trans. Power Electron. 28(9), 4327–4334 (2013)

    Google Scholar 

  58. H. Meyvaert, P. Smeets, M. Steyaert, A 265 V RMS mains interface integrated in 0.35 μm CMOS. IEEE J. Solid State Circuits 48(7), 1558–1564 (2013)

    Google Scholar 

  59. H. Meyvaert, M. Steyaert, A. Crouwels, S. Indevuyst, Monolithic power management front end with high voltage dense energy storage for wireless powering, in 2013 9th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME) (2013), pp. 277–280

    Google Scholar 

  60. H. Meyvaert, A. Sarafianos, N. Butzen, M. Steyaert, Monolithic switched-capacitor DC-DC towards high voltage conversion ratios, in 2014 IEEE 15th Workshop on Control and Modeling for Power Electronics (COMPEL) (2014), pp. 1–5

    Google Scholar 

  61. H. Meyvaert, G. Villar Piqué, R. Karadi, H. Bergveld, M. Steyaert, A light-load-efficient 11/1 switched-capacitor DC-DC converter with 94.7% efficiency while delivering 100 mW at 3.3 V. IEEE J. Solid State Circuits 50(12), 2849–2860 (2015)

    Google Scholar 

  62. H. Meyvaert, G. Villar Piqué, R. Karadi, H.J. Bergveld, M. Steyaert, A light-load-efficient 11/1 switched-capacitor DC-DC converter with 94.7% efficiency while delivering 100 mW at 3.3 V, in 2015 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2015), pp. 358–359

    Google Scholar 

  63. A.V. Mezhiba, E.G. Friedman, Impedance characteristics of power distribution grids in nanoscale integrated circuits. IEEE Trans. Very Large Scale Integr. VLSI Syst. 12(11), 1148–1155 (2004)

    Article  Google Scholar 

  64. R. Middlebrook, Transformerless DC-to-DC converters with large conversion ratios. IEEE Trans. Power Electron. 3(4), 484–488 (1988)

    Article  Google Scholar 

  65. P. Mixon, Technical origins of 60 Hz as the standard AC frequency in North America. IEEE Power Eng. Rev. 19(3), 35–37 (1999)

    Article  Google Scholar 

  66. Murata GRM188R61E106MA73#: MLCC 0603 SMD capacitor, 10 μF(+/-20%), 25 V, X5R

    Google Scholar 

  67. V. Ng, S. Sanders, A 92%-efficiency wide-input-voltage-range switched-capacitor dc-dc converter, in Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 282–284

    Google Scholar 

  68. V. Ng, S. Sanders, A high-efficiency wide-input-voltage range switched capacitor point-of-load DC-DC converter. IEEE Trans. Power Electron. 28(9), 4335–4341 (2013)

    Article  Google Scholar 

  69. V. Ng, M. Seeman, S. Sanders, High-efficiency, 12 V-to-1.5 V DC-DC converter realized with switched-capacitor architecture, in 2009 Symposium on VLSI Circuits (2009), pp. 168–169

    Google Scholar 

  70. V.W. Ng, M.D. Seeman, S.R. Sanders, Minimum PCB footprint point-of-load DC-DC converter realized with switched-capacitor architecture, in Proceedings of IEEE Energy Conversion Congress and Exposition (ECCE) (2009), pp. 1575–1581

    Google Scholar 

  71. L. Ni, D.J. Patterson, J.L. Hudgins, High power current sensorless bidirectional 16-phase interleaved DC-DC converter for hybrid vehicle application. IEEE Trans. Power Electron. 27(3), 1141–1151 (2012)

    Article  Google Scholar 

  72. E. Owen, The origins of 60-Hz as a power frequency. IEEE Ind. Appl. Mag. 3(6), 8, 10, 12–14 (1997)

    Google Scholar 

  73. M. Pomper, L. Leipold, R. Muller, R. Weidlich, On-chip power supply for 110 V line input. IEEE J. Solid State Circuits 13(6), 882–886 (1978)

    Article  Google Scholar 

  74. R. Pilawa-Podgurski, Scalable series-stacked power delivery architectures for improved efficiency and reduced supply current, in International Power Supply on Chip Workshop (PowerSoC), Boston (2014)

    Google Scholar 

  75. R. Pilawa-Podgurski, D. Perreault, Merged two-stage power converter with soft charging switched-capacitor stage in 180 nm CMOS. IEEE J. Solid State Circuits 47(7), 1557–1567 (2012)

    Article  Google Scholar 

  76. Pulling the plug on standby power. (2006) http://www.economist.com/node/5571582

  77. S. Rajapandian, Z. Xu, K. Shepard, Energy-efficient low-voltage operation of digital CMOS circuits through charge-recycling, in 2004 Symposium on VLSI Circuits (2004), pp. 330–333

    Google Scholar 

  78. S. Rajapandian, Z. Xu, K.L. Shepard, Implicit DC-DC downconversion through charge-recycling. IEEE J. Solid State Circuits 40(4), 846–852 (2005)

    Article  Google Scholar 

  79. Y. Ramadass, A. Chandrakasan, Energy processing circuits for low-power applications, Ph.D. thesis, Massachusetts Institute of Technology (2009)

    Google Scholar 

  80. Y.K. Ramadass, A.A. Fayed, A.P. Chandrakasan, A fully-integrated switched-capacitor step-down DC-DC converter with digital capacitance modulation in 45 nm CMOS. IEEE J. Solid State Circuits 45(12), 2557–2565 (2010)

    Article  Google Scholar 

  81. R. Redl, L. Balogh, N. Sokal, A new family of single-stage isolated power-factor correctors with fast regulation of the output voltage, in 25th Annual IEEE Power Electronics Specialists Conference, PESC ‘94 Record (1994), pp. 1137–1144

    Google Scholar 

  82. J. Rodriguez, K. Remack, J. Gertas, L. Wang, C. Zhou, K. Boku, J. Rodriguez-Latorre, K. Udayakumar, S. Summerfelt, T. Moise, D. Kim, J. Groat, J. Eliason, M. Depner, F. Chu, Reliability of Ferroelectric Random Access memory embedded within 130 nm CMOS, in 2010 IEEE International Reliability Physics Symposium (IRPS) (2010), pp. 750–758

    Google Scholar 

  83. L. Salem, P. Mercier, A 45-ratio recursively sliced series-parallel switched-capacitor DC-DC converter achieving 86% efficiency, in 2014 IEEE Proceedings of the Custom Integrated Circuits Conference (CICC) (2014), pp. 1–4

    Google Scholar 

  84. L. Salem, P. Mercier, A recursive switched-capacitor dc-dc converter achieving ratios with high efficiency over a wide output voltage range. IEEE J. Solid State Circuits 49(12), 2773–2787 (2014)

    Article  Google Scholar 

  85. L. Salem, P. Mercier, An 85%-efficiency fully integrated 15-ratio recursive switched-capacitor DC-DC converter with 0.1-to-2.2 V output voltage range, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2014), pp. 88–89

    Google Scholar 

  86. S. Sanders, E. Alon, H.-P. Le, M. Seeman, M. John, V. Ng, The road to fully integrated DC–DC conversion via the switched-capacitor approach. IEEE Trans. Power Electron. 28(9), 4146–4155 (2013)

    Article  Google Scholar 

  87. A. Sarafianos, M. Steyaert, Fully integrated wide input voltage range capacitive DC-DC converters: the folding Dickson converter. IEEE J. Solid State Circuits 50(7), 1560–1570 (2015)

    Article  Google Scholar 

  88. C. Schaef, K. Kesarwani, J. Stauth, A variable-conversion-ratio 3-phase resonant switched capacitor converter with 85% efficiency at 0.91 W/mm2 using 1.1nH PCB-trace inductors, in 2015 IEEE International Solid- State Circuits Conference - (ISSCC) (2015), pp. 1–3

    Google Scholar 

  89. G. Schrom, P. Hazucha, J.-H. Hahn, V. Kursun, D. Gardner, S. Narendra, T. Karnik, V. De, Feasibility of monolithic and 3D-stacked DC-DC converters for microprocessors in 90 nm technology generation, in Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004. ISLPED ‘04 (2004), pp. 263–268

    Google Scholar 

  90. M.D. Seeman, S.R. Sanders, Analysis and optimization of switched-capacitor DC-DC converters. IEEE Trans. Power Electron. 23(2), 841–851 (2008)

    Article  Google Scholar 

  91. M. Seeman, V. Ng, H.-P. Le, M. John, E. Alon, S. Sanders, A comparative analysis of Switched-Capacitor and inductor-based DC-DC conversion technologies, in 2010 IEEE 12th Workshop on Control and Modeling for Power Electronics (COMPEL) (2010), pp. 1–7

    Google Scholar 

  92. B. Serneels, T. Piessens, M. Stepert, W. Dehaene, A high-voltage output driver in a standard 2.5 V 0.25 μm CMOS technology, in 2004 IEEE International Proceedings of Digest of Technical Papers Solid-State Circuits Conference ISSCC (2004), pp. 146–518

    Google Scholar 

  93. B. Serneels, T. Piessens, M. Steyaert, W. Dehaene, A high-voltage output driver in a 2.5-V 0.25-μm CMOS technology. IEEE J. Solid State Circuits 40(3), 576–583 (2005)

    Google Scholar 

  94. B. Serneels, M. Steyaert, W. Dehaene, A 5.5 V SOPA line driver in a standard 1.2 V 0.13 μm CMOS technology, in 2005 Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2005), pp. 303–306

    Google Scholar 

  95. B. Serneels, M. Steyaert, W. Dehaene, A high speed, low voltage to high voltage level shifter in standard 1.2 V 0.13μm CMOS, in Proceedings of IEEE International Conference on Electronics, Circuits and Systems (ICECS) (2006), pp. 668–671

    Google Scholar 

  96. B. Serneels, E. Geukens, B. De Muer, T. Piessens, A 1.5W 10 V-output Class-D amplifier using a boosted supply from a single 3.3V input in standard 1.8 V/3.3 V 0.18 μm CMOS, in Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 94–96

    Google Scholar 

  97. P.S. Shenoy, P.T. Krein, Differential power processing for DC systems. IEEE Trans. Power Electron. 28(4), 1795–1806 (2013)

    Article  Google Scholar 

  98. P. Shenoy, S. Zhang, R. Abdallah, P. Krein, N. Shanbhag, Overcoming the power wall: connecting voltage domains in series, in 2011 International Conference on Energy Aware Computing (ICEAC) (2011), pp. 1–6

    Google Scholar 

  99. P. Shenoy, M. Amaro, D. Freeman, J. Morroni, Comparison of a 12 V, 10 A, 3 MHz buck converter and a series capacitor buck converter, in 2015 IEEE Applied Power Electronics Conference and Exposition (APEC) (2015), pp. 461–468

    Google Scholar 

  100. D. Somasekhar, B. Srinivasan, G. Pandya, F. Hamzaoglu, M. Khellah, T. Karnik, K. Zhang, Multi-phase 1 GHz voltage doubler charge-pump in 32 nm logic process, in 2009 Symposium on VLSI Circuits (2009), pp. 196–197

    Google Scholar 

  101. D. Somasekhar, B. Srinivasan, G. Pandya, F. Hamzaoglu, M. Khellah, T. Karnik, K. Zhang, Multi-phase 1 GHz voltage doubler charge pump in 32 nm logic process. IEEE J. Solid State Circuits 45(4), 751–758 (2010)

    Article  Google Scholar 

  102. M. Steyaert, P. Vancorenland, CMOS: a paradigm for low power wireless? in Proceedings of 39th Design Automation Conference (2002), pp. 836–841

    Google Scholar 

  103. M. Steyaert, T. Van Breussegem, H. Meyvaert, P. Callemeyn, M. Wens, DC-dc converters: from discrete towards fully integrated CMOS, in 2011 Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2011), pp. 42–49

    Google Scholar 

  104. M. Steyaert, F. Tavernier, H. Meyvaert, A. Sarafianos, N. Butzen, When hardware is free, power is expensive! Is integrated power management the solution? in 2015 Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2015), pp. 26–34

    Google Scholar 

  105. L. Su, D. Ma, Monolithic reconfigurable SC power converter with adaptive gain control and on-chip capacitor sizing, in Proceedings of IEEE Energy Conversion Congress and Exposition (ECCE) (2010), pp. 2713–2717

    Google Scholar 

  106. A.A. Tamez, J.A. Fredenburg, M.P. Flynn, An integrated 120 volt ac mains voltage interface in standard 130 nm cmos, in 2010 Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2010), pp. 238–241

    Google Scholar 

  107. B. Tar, U. Cilingiroglu, Nanowatt-scale power management for on-chip photovoltaic energy harvesting beacons. IEEE J. Emerging Sel. Top. Circuits Syst. 4(3), 284–291 (2014)

    Article  Google Scholar 

  108. Texas Instruments, Dallas, Texas. 4.7-V to 60-V Input, 50-mA Synchronous Step-Down Converter With Low IQ (2011). TPS54062

    Google Scholar 

  109. The international energy agency. http://www.iea.org/

  110. The international technology roadmap for semiconductors (2009). public.itrs.net.

    Google Scholar 

  111. Timedomain CVD Inc. silicon dioxide: properties and applications. http://www.timedomaincvd.com/CVD_Fundamentals/films/SiO2_properties.html/

  112. United states environmental protection agency. http://www.epa.gov/

  113. T. Van Breussegem, M. Steyaert, A 82% efficiency 0.5% ripple 16-phase fully integrated capacitive voltage doubler, in 2009 Symposium on VLSI Circuits (2009), pp. 198–199

    Google Scholar 

  114. T.M. Van Breussegem, M.S.J. Steyaert, Compact low swing gearbox-type integrated capacitive DC/DC converter. Electron. Lett. 46(13), 892–894 (2010)

    Article  Google Scholar 

  115. T.M. Van Breussegem, M.S.J. Steyaert, Monolithic capacitive DC-DC converter with single boundary-multiphase control and voltage domain stacking in 90 nm CMOS. IEEE J. Solid State Circuits 46(7), 1715–1727 (2011)

    Article  Google Scholar 

  116. T. Van Breussegem, M. Steyaert, Accuracy improvement of the output impedance model for capacitive down-converters. Analog Integr. Circ. Sig. Process 72(1), 271–277 (2012)

    Article  Google Scholar 

  117. T. Van Breussegem, M. Wens, J.-M. Redoute, E. Geukens, D. Geys, M. Steyaert, A DMOS integrated 320mW capacitive 12 V to 70 V DC/DC-converter for LIDAR applications, in Proceedings of IEEE Energy Conversion Congress and Exposition ECCE 2009 (2009), pp. 3865–3869

    Google Scholar 

  118. C. van Liempd, S. Stanzione, Y. Allasasmeh, C. Van Hoof, A 1 μW-to-1 mW energy-aware interface IC for piezoelectric harvesting with 40nA quiescent current and zero-bias active rectifiers, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2013), pp. 76–77

    Google Scholar 

  119. G. Villar Piqué, A 41-phase switched-capacitor power converter with 3.8 mV output ripple and 81% efficiency in baseline 90 nm CMOS, in Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2012), pp. 98–100

    Google Scholar 

  120. G. Villar Piqué, E. Alarcon, Monolithic integration of a 3-level DCM-operated low-floating-capacitor buck converter for DC-DC step-down donversion in standard CMOS, in IEEE Power Electronics Specialists Conference, 2008. PESC 2008 (2008), pp. 4229–4235

    Google Scholar 

  121. G. Villar Piqué, H. Bergveld, E. Alarcon, Survey and benchmark of fully integrated switching power converters: switched-capacitor versus inductive approach. IEEE Trans. Power Electron. 28(9), 4156–4167 (2013)

    Article  Google Scholar 

  122. G. Villar Piqué, H.J. Bergveld, R. Karadi, A 1W 8-ratio switched-capacitor boost power converter in 140 nm CMOS with 94.5% efficiency, 0.5 mm thickness and 8.1mm2 PCB area, in 2015 Symposium on VLSI Circuits (2015)

    Google Scholar 

  123. F. Waldron, R. Foley, J. Slowey, A. Alderman, B. Narveson, S. Mathuna, Technology roadmapping for power supply in package (PSiP) and power supply on chip (PwrSoC). IEEE Trans. Power Electron. 28(9), 4137–4145 (2013)

    Article  Google Scholar 

  124. G. Wang et al., Scaling deep trench based eDRAM on SOI to 32 nm and Beyond, in 2009 IEEE International Electron Devices Meeting (IEDM) (2009), pp. 259–262

    Google Scholar 

  125. M. Wens, M. Steyaert, A fully integrated CMOS 800-mW four-phase semiconstant ON/OFF-time step-down converter. IEEE Trans. Power Electron. 26(2), 326–333 (2011)

    Article  Google Scholar 

  126. J. Wibben, R. Harjani, A high-efficiency DC-DC converter using 2 nH integrated inductors. IEEE J. Solid State Circuits 43(4), 844–854 (2008)

    Article  Google Scholar 

  127. World voltage guide. http://www.cui.com/catalog/resource/world-plug-and-input-voltage-guide.pdf

  128. L. Xue, C. Dougherty, R. Bashirullah, 50–100 MHz, 8x step-up DC-dc converters in 130 nm 1.2 V digital CMOS, in 2011 Twenty-Sixth Annual IEEE Applied Power Electronics Conference and Exposition (APEC) (2011), pp. 892–896

    Google Scholar 

  129. B. Zhai, D. Blaauw, D. Sylvester, K. Flautner, The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. IEEE Trans. Very Large Scale Integr. VLSI Syst. 13(11), 1239–1252 (2005)

    Article  Google Scholar 

  130. B. Zimmer, Y. Lee, A. Puggelli, J. Kwak, R. Jevtic, B. Keller, S. Bailey, M. Blagojevic, P.-F. Chiu, H.-P. Le, P.-H. Chen, N. Sutardja, R. Avizienis, A. Waterman, B. Richards, P. Flatresse, E. Alon, K. Asanovic, B. Nikolic, A RISC-V vector processor with tightly-integrated switched-capacitor DC-DC converters in 28 nm FDSOI, in 2015 Symposium on VLSI Circuits (2015)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Meyvaert, H., Steyaert, M. (2016). Two-Stage Approach for Compact and Efficient Low Power from the Mains. In: High-Ratio Voltage Conversion in CMOS for Efficient Mains-Connected Standby. Analog Circuits and Signal Processing. Springer, Cham. https://doi.org/10.1007/978-3-319-31207-1_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-31207-1_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-31206-4

  • Online ISBN: 978-3-319-31207-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics